স্বয়ংচালিত ভোল্টেজ কনভার্টার সার্কিটের উদাহরণ ব্যবহার করে সংযোগ চিত্র, পিনআউট, TL494 এর অপারেটিং নীতি। আসুন আপনার নিজের হাতে একটি কম্পিউটার পাওয়ার সাপ্লাই মেরামত সম্পর্কে কথা বলি TL494CN চিপের ডিজাইন

TL494 এর অপারেটিং নীতি
অটোমোবাইল ভোল্টেজ কনভার্টারের উদাহরণে

TL494 মূলত পাওয়ার সাপ্লাই স্যুইচ করার জন্য একটি কিংবদন্তি চিপ। কেউ কেউ অবশ্যই যুক্তি দিতে পারে যে এখন নতুন, আরও উন্নত PWM কন্ট্রোলার রয়েছে এবং এই আবর্জনা নিয়ে গোলমাল করার কী দরকার। ব্যক্তিগতভাবে, আমি এটির জন্য কেবল একটি কথা বলতে পারি - লিও টলস্টয় সাধারণত হাতে লিখেছিলেন এবং তিনি যেমন লিখেছেন! কিন্তু আপনার কম্পিউটারে ওয়ার্ড দুই হাজার তেরোটির উপস্থিতি অন্তত একটি সাধারণ গল্প লিখতে কাউকে উৎসাহিত করেনি। ওয়েল, ঠিক আছে, যারা আগ্রহী, আরও দেখুন, যারা নন - সব ভাল!
আমি এখনই একটি রিজার্ভেশন করতে চাই - আমরা টেক্সাস ইনস্ট্রুমেন্টস দ্বারা নির্মিত TL494 সম্পর্কে কথা বলব৷ আসল বিষয়টি হ'ল এই নিয়ামকটিতে বিভিন্ন কারখানার দ্বারা উত্পাদিত বিপুল সংখ্যক অ্যানালগ রয়েছে এবং যদিও তাদের কাঠামোগত চিত্রটি খুব একই রকম, তবে তারা এখনও ঠিক একই মাইক্রোসার্কিট নয় - এমনকি বিভিন্ন মাইক্রোসার্কিটের ত্রুটি পরিবর্ধকগুলির একই প্যাসিভ সহ বিভিন্ন লাভের মান রয়েছে তারের তাই প্রতিস্থাপনের পরে, মেরামত করা পাওয়ার সাপ্লাইয়ের পরামিতিগুলি দুবার চেক করতে ভুলবেন না - আমি ব্যক্তিগতভাবে এই রেকে পা দিয়েছি।
ঠিক আছে, এটি একটি প্রবাদ ছিল, কিন্তু এখানে রূপকথার গল্প শুরু হয়। এখানে টেক্সাস ইন্সট্রুমেন্টস থেকে TL494 এর একটি ব্লক ডায়াগ্রাম রয়েছে। আপনি যদি ঘনিষ্ঠভাবে দেখেন তবে এটিতে খুব বেশি ভরাট নেই, তবে এটি কার্যকরী ইউনিটগুলির এই সংমিশ্রণ যা এই নিয়ামকটিকে একটি পেনি দামে প্রচুর জনপ্রিয়তা অর্জন করতে দেয়।

মাইক্রোসার্কিটগুলি প্রচলিত ডিআইপি প্যাকেজ এবং পৃষ্ঠ মাউন্ট করার জন্য প্ল্যানার উভয় ক্ষেত্রেই উত্পাদিত হয়। উভয় ক্ষেত্রেই পিনআউট একই রকম। ব্যক্তিগতভাবে, আমার অন্ধত্বের কারণে, আমি পুরানো পদ্ধতিতে কাজ করতে পছন্দ করি - সাধারণ প্রতিরোধক, ডিআইপি প্যাকেজ এবং আরও অনেক কিছু।

সপ্তম এবং দ্বাদশ পিনটি সাপ্লাই ভোল্টেজের সাথে সরবরাহ করা হয়, সপ্তমটি MINUS বা সাধারণ এবং দ্বাদশটি PLUS। সরবরাহ ভোল্টেজের পরিসীমা বেশ বড় - পাঁচ থেকে চল্লিশ ভোল্ট পর্যন্ত। স্বচ্ছতার জন্য, মাইক্রোসার্কিটটি প্যাসিভ উপাদানগুলির সাথে বাঁধা, যা এর অপারেটিং মোড সেট করে। ঠিক আছে, মাইক্রোসার্কিট চালু হওয়ার সাথে সাথে স্পষ্ট হয়ে উঠবে কীসের উদ্দেশ্যে। হ্যাঁ, হ্যাঁ, ঠিক লঞ্চ, যেহেতু শক্তি প্রয়োগ করা হলে মাইক্রোসার্কিট অবিলম্বে কাজ শুরু করে না। ওয়েল, প্রথম জিনিস প্রথম.
সুতরাং, পাওয়ার সংযোগ করার সময়, অবশ্যই, TL494 এর দ্বাদশ পিনে ভোল্টেজ তাত্ক্ষণিকভাবে প্রদর্শিত হবে না - পাওয়ার ফিল্টার ক্যাপাসিটারগুলিকে চার্জ করতে কিছুটা সময় লাগবে এবং প্রকৃত শক্তির উত্সের শক্তি অবশ্যই নয় অসীম. হ্যাঁ, এই প্রক্রিয়াটি বেশ ক্ষণস্থায়ী, কিন্তু এটি এখনও বিদ্যমান - সরবরাহ ভোল্টেজ একটি সময়ের সাথে শূন্য থেকে নামমাত্র মান পর্যন্ত বৃদ্ধি পায়। ধরা যাক যে আমাদের নামমাত্র সরবরাহ ভোল্টেজ 15 ভোল্ট এবং আমরা এটি কন্ট্রোলার বোর্ডে প্রয়োগ করি।
DA6 স্টেবিলাইজারের আউটপুটে ভোল্টেজ পুরো মাইক্রোসার্কিটের সরবরাহ ভোল্টেজের প্রায় সমান হবে যতক্ষণ না মূল শক্তি স্থিতিশীল ভোল্টেজে পৌঁছায়। যতক্ষণ না এটি 3.5 ভোল্টের নীচে থাকে, ততক্ষণ DA7 তুলনাকারীর আউটপুট একটি যৌক্তিক এক স্তর থাকবে, যেহেতু এই তুলনাকারী অভ্যন্তরীণ রেফারেন্স সরবরাহ ভোল্টেজের মান পর্যবেক্ষণ করে। এই লজিক্যাল ইউনিট OR গেট DD1 এ সরবরাহ করা হয়। OR লজিক্যাল এলিমেন্টের অপারেটিং নীতি হল যে যদি এর অন্তত একটি ইনপুটের একটি লজিক্যাল থাকে, তাহলে আউটপুট একটি হবে, অর্থাৎ যদি প্রথম ইনপুটে একটি থাকে বা দ্বিতীয়টিতে, বা তৃতীয়তে বা চতুর্থটিতে, তবে DD1 এর আউটপুট এক হবে এবং অন্যান্য ইনপুটে কী হবে তা বিবেচ্য নয়। এইভাবে, যদি সরবরাহের ভোল্টেজ 3.5 ভোল্টের নীচে হয় তবে DA7 ঘড়ির সংকেতটিকে আরও অতিক্রম করতে বাধা দেয় এবং মাইক্রোসার্কিটের আউটপুটগুলিতে কিছুই ঘটে না - কোনও নিয়ন্ত্রণ পালস নেই।

যাইহোক, যত তাড়াতাড়ি সরবরাহ ভোল্টেজ 3.5 ভোল্ট অতিক্রম করে, ইনভার্টিং ইনপুটে ভোল্টেজ নন-ইনভার্টিং ইনপুটের চেয়ে বেশি হয়ে যায় এবং তুলনাকারী তার আউটপুট ভোল্টেজকে লজিক্যাল জিরোতে পরিবর্তন করে, যার ফলে প্রথম ব্লকিং স্টেজটি সরিয়ে দেয়।
দ্বিতীয় ব্লকিং পর্যায়টি তুলনাকারী DA5 দ্বারা নিয়ন্ত্রিত হয়, যা সরবরাহ ভোল্টেজের মান নিরীক্ষণ করে, অর্থাৎ এর মান 5 ভোল্ট, যেহেতু অভ্যন্তরীণ স্টেবিলাইজার DA6 তার ইনপুটের চেয়ে বেশি ভোল্টেজ তৈরি করতে পারে না। যত তাড়াতাড়ি সরবরাহ ভোল্টেজ 5 ভোল্ট অতিক্রম করে, এটি ইনভার্টিং ইনপুট DA5 এ আরও বড় হয়ে যাবে, যেহেতু নন-ইনভার্টিং ইনপুটে এটি জেনার ডায়োড VDin5 এর স্ট্যাবিলাইজেশন ভোল্টেজ দ্বারা সীমাবদ্ধ। তুলনাকারী DA5 এর আউটপুটে ভোল্টেজ লজিক্যাল শূন্যের সমান হয়ে যাবে এবং যখন এটি DD1 এর ইনপুটে পৌঁছাবে, দ্বিতীয় ব্লকিং পর্যায়টি সরানো হবে।
5 ভোল্টের অভ্যন্তরীণ রেফারেন্স ভোল্টেজ মাইক্রোসার্কিটের ভিতরেও ব্যবহৃত হয় এবং এটির বাইরে পিন 14 এর মাধ্যমে আউটপুট হয়। অভ্যন্তরীণ ব্যবহার অভ্যন্তরীণ তুলনাকারী DA3 এবং DA4 এর স্থিতিশীল অপারেশনের গ্যারান্টি দেয়, যেহেতু এই তুলনাকারীগুলি করাতথুথ ভোল্টেজের মাত্রার উপর ভিত্তি করে নিয়ন্ত্রণ পালস তৈরি করে। জেনারেটর G1 দ্বারা।
এটা এখানে ক্রম ভাল. মাইক্রোসার্কিটে একটি করাত জেনারেটর রয়েছে, যার ফ্রিকোয়েন্সি টাইমিং ক্যাপাসিটর C3 এবং প্রতিরোধক R13 এর উপর নির্ভর করে। অধিকন্তু, R13 করাত গঠনে সরাসরি অংশগ্রহণ করে না, তবে বর্তমান জেনারেটরের একটি নিয়ন্ত্রক উপাদান হিসাবে কাজ করে, যা ক্যাপাসিটর C3 চার্জ করে। এইভাবে, R13 এর রেটিং হ্রাস করে, চার্জিং কারেন্ট বৃদ্ধি পায়, ক্যাপাসিটর দ্রুত চার্জ হয় এবং সেই অনুযায়ী, ঘড়ির ফ্রিকোয়েন্সি বৃদ্ধি পায় এবং উত্পন্ন করাতের প্রশস্ততা বজায় থাকে।

এর পরে, করাতটি তুলনাকারী DA3 এর ইনভার্টিং ইনপুটে যায়। নন-ইনভার্টিং ইনপুটে 0.12 ভোল্টের একটি রেফারেন্স ভোল্টেজ রয়েছে। এটি সম্পূর্ণ পালস সময়কালের পাঁচ শতাংশের সাথে মিলে যায়। অন্য কথায়, ফ্রিকোয়েন্সি নির্বিশেষে, একটি যৌক্তিক ইউনিট তুলনাকারী DA3-এর আউটপুটে উপস্থিত হয় সম্পূর্ণ নিয়ন্ত্রণ পালসের সময়কালের ঠিক পাঁচ শতাংশের জন্য, যার ফলে DD1 উপাদানটিকে ব্লক করে এবং আউটপুটের ট্রানজিস্টরগুলি স্যুইচ করার মধ্যে একটি বিরতি সময় প্রদান করে। মাইক্রোসার্কিটের পর্যায়। এটি সম্পূর্ণরূপে সুবিধাজনক নয় - যদি অপারেশন চলাকালীন ফ্রিকোয়েন্সি পরিবর্তিত হয়, তবে বিরতির সময়টি সর্বাধিক ফ্রিকোয়েন্সির জন্য বিবেচনা করা উচিত, কারণ বিরতির সময়টি সর্বনিম্ন হবে। যাইহোক, এই সমস্যাটি বেশ সহজে সমাধান করা যেতে পারে যদি 0.12 ভোল্টের রেফারেন্স ভোল্টেজের মান বাড়ানো হয় এবং সেই অনুযায়ী বিরতির সময়কাল বাড়বে। এটি প্রতিরোধক ব্যবহার করে একটি ভোল্টেজ বিভাজক একত্রিত করে বা জংশন জুড়ে কম ভোল্টেজ ড্রপ সহ একটি ডায়োড ব্যবহার করে করা যেতে পারে।

এছাড়াও, জেনারেটর থেকে করাত তুলনাকারী DA4-এর কাছে যায়, যা DA1 এবং DA2 এর ত্রুটি পরিবর্ধক দ্বারা উত্পন্ন ভোল্টেজের সাথে এর মান তুলনা করে। যদি ত্রুটি পরিবর্ধক থেকে ভোল্টেজ মান করাতথুথ ভোল্টেজের প্রশস্ততার নীচে হয়, তবে নিয়ন্ত্রণ ডালগুলি ড্রাইভারের কাছে পরিবর্তন ছাড়াই চলে যায়, তবে যদি ত্রুটি পরিবর্ধকগুলির আউটপুটে কিছু ভোল্টেজ থাকে এবং এটি সর্বনিম্ন মানের চেয়ে বেশি হয় এবং সর্বোচ্চ sawtooth ভোল্টেজ থেকে কম, তারপর যখন sawtooth ভোল্টেজ পরিবর্ধক ত্রুটি থেকে ভোল্টেজ স্তরে পৌঁছায়, তুলনাকারী DA4 একটি যৌক্তিক এক স্তর তৈরি করে এবং DD1 এ যাওয়া নিয়ন্ত্রণ পালস বন্ধ করে দেয়।

DD1 এর পরে একটি বৈদ্যুতিন সংকেতের মেরু বদল DD2 আছে, যা প্রান্ত-অপারেটিং D-ফ্লিপ-ফ্লপ DD3-এর জন্য প্রান্ত তৈরি করে। ট্রিগার, ঘুরে, ঘড়ির সংকেতকে দুই ভাগে ভাগ করে এবং পর্যায়ক্রমে AND উপাদানগুলির অপারেশনের সারমর্ম হল যে একটি যৌক্তিক একটি উপাদানের আউটপুটে উপস্থিত হয় যখন সেখানে থাকে। এর একটি ইনপুটে একটি লজিক্যাল এবং অন্য ইনপুটে একটি লজিক্যাল একটি থাকবে সেখানে একটি লজিক্যাল ইউনিট রয়েছে। এই AND লজিক উপাদানগুলির দ্বিতীয় পিনগুলি একে অপরের সাথে সংযুক্ত এবং ত্রয়োদশ পিনে আউটপুট দেয়, যা মাইক্রোসার্কিটের ক্রিয়াকলাপকে বাহ্যিকভাবে সক্ষম করতে ব্যবহার করা যেতে পারে।
DD4, DD5 এর পরে OR-NOT উপাদানের একটি জোড়া আছে। এটি ইতিমধ্যে পরিচিত OR উপাদান, শুধুমাত্র এর আউটপুট ভোল্টেজ উল্টানো হয়, যেমন সত্য না. অন্য কথায়, যদি একটি উপাদানের অন্তত একটি ইনপুট একটি যৌক্তিক একটি ধারণ করে, তাহলে তার আউটপুট এক হবে না, যেমন শূন্য এবং একটি উপাদানের আউটপুটে একটি যৌক্তিক একটি উপস্থিত হওয়ার জন্য, একটি যৌক্তিক শূন্য তার উভয় ইনপুটে উপস্থিত থাকতে হবে।
DD6 এবং DD7 উপাদানগুলির দ্বিতীয় ইনপুটগুলি সংযুক্ত এবং সরাসরি আউটপুট DD1 এর সাথে সংযুক্ত থাকে, যা উপাদানগুলিকে ব্লক করে যতক্ষণ না আউটপুট DD1 এ একটি যৌক্তিক থাকে।
আউটপুট DD6 এবং DD7 থেকে, নিয়ন্ত্রণ ডালগুলি PWM কন্ট্রোলারের আউটপুট পর্যায়ের ট্রানজিস্টরের ঘাঁটিতে পৌঁছায়। তদুপরি, মাইক্রোসার্কিট নিজেই কেবল বেস ব্যবহার করে এবং সংগ্রাহক এবং ইমিটারগুলি মাইক্রোসার্কিটের বাইরে অবস্থিত এবং ব্যবহারকারী তার নিজের বিবেচনার ভিত্তিতে ব্যবহার করতে পারে। উদাহরণস্বরূপ, একটি সাধারণ তারের সাথে ইমিটারগুলিকে সংযুক্ত করে এবং একটি ম্যাচিং ট্রান্সফরমারের উইন্ডিংগুলিকে সংগ্রাহকদের সাথে সংযুক্ত করে, আমরা মাইক্রোসার্কিটের সাথে পাওয়ার ট্রানজিস্টরগুলিকে সরাসরি নিয়ন্ত্রণ করতে পারি।
যদি আউটপুট স্টেজ ট্রানজিস্টরগুলির সংগ্রাহকগুলি সরবরাহ ভোল্টেজের সাথে সংযুক্ত থাকে এবং নির্গমনকারীগুলি প্রতিরোধক দিয়ে লোড করা হয়, তবে আমরা পাওয়ার ট্রানজিস্টরের গেটগুলিকে সরাসরি নিয়ন্ত্রণ করার জন্য নিয়ন্ত্রণ পালস পাই, যা অবশ্যই খুব শক্তিশালী নয় - সংগ্রাহক বর্তমান আউটপুট পর্যায়ের ট্রানজিস্টর 250 mA এর বেশি হওয়া উচিত নয়।
ট্রানজিস্টরের সংগ্রাহক এবং ইমিটারগুলিকে একে অপরের সাথে সংযুক্ত করে একক-এন্ডেড কনভার্টারগুলি নিয়ন্ত্রণ করতে আমরা TL494 ব্যবহার করতে পারি। এই সার্কিট্রি ব্যবহার করে, আপনি পালস স্টেবিলাইজারও তৈরি করতে পারেন - একটি নির্দিষ্ট বিরতি সময় ইন্ডাকট্যান্সকে চুম্বকীয় হতে বাধা দেবে এবং এটি একটি মাল্টি-চ্যানেল স্টেবিলাইজার হিসাবেও ব্যবহার করা যেতে পারে।
এখন সংযোগ চিত্র এবং TL494 PWM কন্ট্রোলারের তারের সম্পর্কে কয়েকটি শব্দ। আরও স্পষ্টতার জন্য, আসুন ইন্টারনেট থেকে কয়েকটি ডায়াগ্রাম নেওয়া যাক এবং সেগুলি বোঝার চেষ্টা করি।

অটোমোবাইল ভোল্টেজ কনভার্টারগুলির ডায়াগ্রাম
TL494 ব্যবহার করছে

প্রথমত, আসুন গাড়ি কনভার্টারগুলি দেখি। ডায়াগ্রামগুলি IS হিসাবে নেওয়া হয়েছে, তাই ব্যাখ্যাগুলি ছাড়াও, আমি আপনাকে কিছু সূক্ষ্মতা হাইলাইট করার অনুমতি দেব যা আমি অন্যভাবে করতাম।
সুতরাং, স্কিম নম্বর 1. একটি স্বয়ংচালিত ভোল্টেজ রূপান্তরকারী যার একটি স্থিতিশীল আউটপুট ভোল্টেজ রয়েছে এবং স্থিতিশীলতা পরোক্ষভাবে বাহিত হয় - এটি কনভার্টারের আউটপুট ভোল্টেজ নয় যা নিয়ন্ত্রিত হয়, তবে অতিরিক্ত উইন্ডিংয়ের ভোল্টেজ। অবশ্যই, ট্রান্সফরমারের আউটপুট ভোল্টেজগুলি আন্তঃসংযুক্ত, তাই একটি উইন্ডিংয়ের লোড বৃদ্ধির ফলে কেবল এটিতে নয়, একই কোরে ক্ষত থাকা সমস্ত উইন্ডিংগুলিতেও ভোল্টেজ ড্রপ হয়। অতিরিক্ত ওয়াইন্ডিংয়ের ভোল্টেজ একটি ডায়োড ব্রিজ দ্বারা সংশোধন করা হয়, রোধ R20 এ অ্যাটেনুয়েটরের মধ্য দিয়ে যায়, ক্যাপাসিটর C5 দ্বারা মসৃণ করা হয় এবং রোধ R21 এর মাধ্যমে, মাইক্রোসার্কিটের প্রথম পায়ে পৌঁছায়। আসুন আমরা ব্লক ডায়াগ্রামটি স্মরণ করি এবং দেখি যে প্রথম আউটপুটটি ত্রুটি পরিবর্ধকের নন-ইনভার্টিং ইনপুট। দ্বিতীয় পিনটি একটি ইনভার্টিং ইনপুট, যার মাধ্যমে রেজিস্টর R2 এর মাধ্যমে ত্রুটি পরিবর্ধক (পিন 3) এর আউটপুট থেকে নেতিবাচক প্রতিক্রিয়া প্রবর্তিত হয়। সাধারণত, 10...47 ন্যানোফ্যারাডের একটি ক্যাপাসিটর এই প্রতিরোধকের সাথে সমান্তরালভাবে স্থাপন করা হয় - এটি ত্রুটি পরিবর্ধকের প্রতিক্রিয়ার গতি কিছুটা কমিয়ে দেয়, তবে একই সাথে এটির ক্রিয়াকলাপের স্থিতিশীলতা উল্লেখযোগ্যভাবে বৃদ্ধি করে এবং ওভারশুটের প্রভাবকে সম্পূর্ণরূপে দূর করে। .

ওভারশুট হল পরিবর্তনগুলি লোড করার জন্য কন্ট্রোলারের একটি অত্যন্ত শক্তিশালী প্রতিক্রিয়া এবং একটি দোলনীয় প্রক্রিয়ার সম্ভাবনা। আমরা এই প্রভাবে ফিরে যাব যখন আমরা এই সার্কিটের সমস্ত প্রক্রিয়া সম্পূর্ণরূপে বুঝতে পারব, তাই আমরা পিন 2-এ ফিরে আসি, যা পিন 14 থেকে পক্ষপাতদুষ্ট, যা 5 ভোল্টে অভ্যন্তরীণ স্টেবিলাইজারের আউটপুট। ত্রুটি পরিবর্ধকটির আরও সঠিক অপারেশনের জন্য এটি করা হয়েছিল - পরিবর্ধকটির একটি ইউনিপোলার সরবরাহ ভোল্টেজ রয়েছে এবং শূন্যের কাছাকাছি ভোল্টেজের সাথে কাজ করা এটির পক্ষে বেশ কঠিন। অতএব, এই ধরনের ক্ষেত্রে, পরিবর্ধককে অপারেটিং মোডে চালিত করার জন্য অতিরিক্ত ভোল্টেজ তৈরি করা হয়।
অন্যান্য জিনিসের মধ্যে, 5 ভোল্টের একটি স্থিতিশীল ভোল্টেজ একটি "নরম" স্টার্ট তৈরি করতে ব্যবহৃত হয় - ক্যাপাসিটর C1 এর মাধ্যমে এটি মাইক্রোসার্কিটের পিন 4 এ সরবরাহ করা হয়। আমি আপনাকে মনে করিয়ে দিই যে কন্ট্রোল ডালের মধ্যে বিরতির সময় এই পিনের ভোল্টেজের উপর নির্ভর করে। এ থেকে এই উপসংহারে আসা কঠিন নয় যে ক্যাপাসিটর সি 1 ডিসচার্জ হওয়ার সময়, বিরতির সময়টি এত দীর্ঘ হবে যে এটি নিয়ন্ত্রণ পালসের সময়কালকে অতিক্রম করবে। যাইহোক, ক্যাপাসিটর চার্জ হওয়ার সাথে সাথে, চতুর্থ টার্মিনালে ভোল্টেজ কমতে শুরু করবে, বিরতির সময় হ্রাস করবে। নিয়ন্ত্রণ ডালের সময়কাল বাড়তে শুরু করবে যতক্ষণ না এটি 5% এর মান পৌঁছায়। এই সার্কিট সমাধানটি সেকেন্ডারি পাওয়ার ক্যাপাসিটারগুলিকে চার্জ করার সময় পাওয়ার ট্রানজিস্টরের মাধ্যমে কারেন্টকে সীমিত করা সম্ভব করে এবং পাওয়ার স্টেজের ওভারলোড দূর করে, যেহেতু আউটপুট ভোল্টেজের কার্যকর মান ধীরে ধীরে বৃদ্ধি পায়।
মাইক্রোসার্কিটের অষ্টম এবং একাদশ পিনগুলি সরবরাহ ভোল্টেজের সাথে সংযুক্ত থাকে, তাই আউটপুট পর্যায়টি একটি বিকিরণকারী অনুসারী হিসাবে কাজ করে, এবং তাই এটি - নবম এবং দশম পিনগুলি বর্তমান-সীমাবদ্ধ প্রতিরোধক R6 এবং R7 এর মাধ্যমে প্রতিরোধক R8 এবং R9 এর সাথে সংযুক্ত থাকে। , সেইসাথে ঘাঁটি VT1 এবং VT2 . এইভাবে, কন্ট্রোলারের আউটপুট পর্যায়টি শক্তিশালী হয় - পাওয়ার ট্রানজিস্টর খোলার কাজটি প্রতিরোধক R6 এবং R7 এর মাধ্যমে সঞ্চালিত হয়, যার সাথে ডায়োডগুলি VD2 এবং VD3 সংযুক্ত থাকে, তবে বন্ধ করা হয়, যার জন্য অনেক বেশি শক্তি প্রয়োজন, ব্যবহার করে VT1 এবং VT2, বিকিরণকারী অনুসারী হিসাবে সংযুক্ত, কিন্তু বৃহৎ স্রোত প্রদান ঠিকভাবে ঘটে যখন গেটে শূন্য ভোল্টেজ তৈরি হয়।
এর পরে, আমাদের প্রতিটি বাহুতে 4টি পাওয়ার ট্রানজিস্টর রয়েছে, সমান্তরালভাবে সংযুক্ত, আরও কারেন্ট পাওয়ার জন্য। সত্যি বলতে কি, এই বিশেষ ট্রানজিস্টরের ব্যবহার কিছু বিভ্রান্তির কারণ হয়ে দাঁড়ায়। সম্ভবত, এই স্কিমের লেখক কেবল সেগুলি স্টকে রেখেছিলেন এবং সেগুলি যুক্ত করার সিদ্ধান্ত নিয়েছিলেন। আসল বিষয়টি হল যে IRF540-এর সর্বাধিক 23 অ্যাম্পিয়ারের কারেন্ট রয়েছে, গেটে সঞ্চিত শক্তি হল 65 ন্যানো কুলম্বস, এবং সবচেয়ে জনপ্রিয় IRFZ44 ট্রানজিস্টরের সর্বাধিক 49 অ্যাম্পিয়ারের কারেন্ট রয়েছে, যখন গেটের শক্তি হল 63 ন্যানো কুলম্বস। অন্য কথায়, দুই জোড়া আইআরএফজেড 44 ব্যবহার করে আমরা সর্বাধিক কারেন্টে একটি ছোট বৃদ্ধি পাই এবং মাইক্রোসার্কিটের আউটপুট পর্যায়ে লোডের দ্বিগুণ হ্রাস পাই, যা শুধুমাত্র প্যারামিটারের পরিপ্রেক্ষিতে এই ডিজাইনের নির্ভরযোগ্যতা বাড়ায়। এবং কেউ "কম অংশ - আরো নির্ভরযোগ্যতা" সূত্রটি বাতিল করেনি।

অবশ্যই, পাওয়ার ট্রানজিস্টরগুলি অবশ্যই একই ব্যাচ থেকে হতে হবে, যেহেতু এই ক্ষেত্রে সমান্তরালভাবে সংযুক্ত ট্রানজিস্টরগুলির মধ্যে পরামিতিগুলির বিস্তার হ্রাস পায়। আদর্শভাবে, অবশ্যই, তাদের লাভের উপর ভিত্তি করে ট্রানজিস্টর নির্বাচন করা ভাল, তবে এটি সর্বদা সম্ভব নয়, তবে আপনি যে কোনও ক্ষেত্রে একই ব্যাচ থেকে ট্রানজিস্টর কিনতে সক্ষম হবেন।

পাওয়ার ট্রানজিস্টরের সমান্তরাল হল সিরিজ-সংযুক্ত প্রতিরোধক R18, R22 এবং ক্যাপাসিটর C3, C12। এই snubbers যে স্ব-ইন্ডাকশন ডালগুলিকে দমন করার জন্য ডিজাইন করা হয়েছে যা অনিবার্যভাবে উদ্ভূত হয় যখন আয়তক্ষেত্রাকার ডালগুলি একটি ইন্ডাকটিভ লোডে প্রয়োগ করা হয়। উপরন্তু, বিষয়টি পালস প্রস্থ মড্যুলেশন দ্বারা উত্তেজিত হয়। এটি এখানে আরও বিশদে যাওয়া মূল্যবান।
পাওয়ার ট্রানজিস্টর খোলা থাকাকালীন, কারেন্ট প্রবাহিত হয় উইন্ডিংয়ের মধ্য দিয়ে, এবং কারেন্ট সব সময় বৃদ্ধি পায় এবং চৌম্বক ক্ষেত্রের বৃদ্ধি ঘটায়, যার শক্তি সেকেন্ডারি উইন্ডিংয়ে স্থানান্তরিত হয়। কিন্তু যত তাড়াতাড়ি ট্রানজিস্টর বন্ধ হয়ে যায়, কারেন্ট বন্ধ হয়ে যায় উইন্ডিংয়ের মধ্য দিয়ে প্রবাহিত হয় এবং চৌম্বক ক্ষেত্রটি ভেঙে পড়তে শুরু করে, যার ফলে বিপরীত পোলারিটির একটি ভোল্টেজ দেখা দেয়। বিদ্যমান ভোল্টেজে যোগ করা হলে, একটি সংক্ষিপ্ত পালস প্রদর্শিত হয়, যার প্রশস্ততা প্রাথমিকভাবে প্রয়োগ করা ভোল্টেজকে অতিক্রম করতে পারে। এটি কারেন্টের ঢেউ ঘটায়, স্ব-ইন্ডাকশন দ্বারা প্ররোচিত ভোল্টেজের পোলারিটিতে বারবার পরিবর্তন ঘটায় এবং এখন স্ব-ইন্ডাকশন উপলব্ধ ভোল্টেজের পরিমাণ কমিয়ে দেয়, এবং যত তাড়াতাড়ি কারেন্ট ছোট হয়, স্ব-ইন্ডাকশনের মেরুতা। আনয়ন পালস আবার পরিবর্তন. এই প্রক্রিয়াটি স্যাঁতসেঁতে হয়, তবে স্ব-ইন্ডাকশন কারেন্ট এবং ভোল্টেজের মাত্রা পাওয়ার ট্রান্সফরমারের সামগ্রিক শক্তির সাথে সরাসরি সমানুপাতিক।

এই সুইংগুলির ফলস্বরূপ, পাওয়ার সুইচ বন্ধ করার মুহুর্তে, ট্রান্সফরমার উইন্ডিংয়ে শক প্রক্রিয়াগুলি পরিলক্ষিত হয় এবং সেগুলিকে দমন করার জন্য স্নুবার ব্যবহার করা হয় - প্রতিরোধকের প্রতিরোধ এবং ক্যাপাসিটরের ক্যাপাসিট্যান্স এমনভাবে নির্বাচন করা হয় যাতে স্ব-ইন্ডাকশন পালস ট্রান্সফরমারের পোলারিটি পরিবর্তন করতে ক্যাপাসিটর চার্জ করার জন্য ঠিক একই পরিমাণ সময় প্রয়োজন।
কেন আপনি এই impulses যুদ্ধ করতে হবে? এটি সবই খুব সহজ - আধুনিক পাওয়ার ট্রানজিস্টরগুলিতে ডায়োডগুলি ইনস্টল করা আছে এবং তাদের ড্রপ ভোল্টেজ একটি ওপেন ফিল্ড সুইচের প্রতিরোধের চেয়ে অনেক বেশি এবং এটি সেই ডায়োডগুলির জন্য যখন তারা পাওয়ার বাসে স্ব-ইন্ডাকশন নির্গমন নির্বাপণ করতে শুরু করে তখন তাদের কঠিন সময় হয়। নিজেদের মাধ্যমে, এবং প্রধানত পাওয়ার ট্রানজিস্টরের হাউজিং গরম হয় না কারণ এটি ট্রানজিস্টরের ট্রানজিস্টর ক্রিস্টাল যা গরম করে, এটি অভ্যন্তরীণ ডায়োডগুলি যা গরম করে। আপনি যদি ডায়োডগুলি অপসারণ করেন, তবে বিপরীত ভোল্টেজ আক্ষরিক অর্থেই পাওয়ার ট্রানজিস্টরটিকে প্রথম পালসে মেরে ফেলবে।
যদি কনভার্টারটি PWM স্থিতিশীলতার সাথে সজ্জিত না হয়, তবে স্ব-প্রবর্তক বকবক করার সময় তুলনামূলকভাবে কম - শীঘ্রই দ্বিতীয় বাহুর পাওয়ার ট্রানজিস্টরটি খোলে এবং খোলা ট্রানজিস্টরের কম প্রতিরোধের দ্বারা স্ব-ইন্ডাকশন বন্ধ হয়ে যায়।

যাইহোক, যদি কনভার্টারে আউটপুট ভোল্টেজের PWM নিয়ন্ত্রণ থাকে, তাহলে পাওয়ার ট্রানজিস্টর খোলার মধ্যে বিরতিগুলি বেশ দীর্ঘ হয়ে যায় এবং স্বাভাবিকভাবেই স্ব-ইনডাকটিভ চ্যাটারের সময় উল্লেখযোগ্যভাবে বৃদ্ধি পায়, ট্রানজিস্টরের ভিতরে ডায়োডগুলির উত্তাপ বৃদ্ধি করে। এই কারণেই স্থিতিশীল বিদ্যুৎ সরবরাহ তৈরি করার সময়, 25% এর বেশি আউটপুট ভোল্টেজ রিজার্ভ সরবরাহ করার পরামর্শ দেওয়া হয় না - বিরতির সময়টি খুব দীর্ঘ হয়ে যায় এবং এটি আউটপুট পর্যায়ের তাপমাত্রায় অযৌক্তিক বৃদ্ধি ঘটায়, এমনকি স্নুবারদের উপস্থিতি।
একই কারণে, কারখানায় তৈরি গাড়ির শক্তি পরিবর্ধকগুলির বেশিরভাগের স্থিতিশীলতা নেই, এমনকি যদি একটি TL494 একটি নিয়ামক হিসাবে ব্যবহার করা হয় - তারা ভোল্টেজ রূপান্তরকারীর তাপ সিঙ্ক এলাকায় সংরক্ষণ করে।
ঠিক আছে, এখন মূল উপাদানগুলি বিবেচনা করা হয়েছে, আসুন PWM স্থিতিশীলতা কীভাবে কাজ করে তা বের করা যাক। আমাদের আউটপুটে ±60 ভোল্টের বাইপোলার ভোল্টেজ আছে বলে উল্লেখ করা হয়েছে। আগে যা বলা হয়েছিল তা থেকে, এটি স্পষ্ট হয়ে যায় যে ট্রান্সফরমারের সেকেন্ডারি উইন্ডিং অবশ্যই 60 ভোল্টের সাথে 25% শতাংশ সরবরাহ করার জন্য ডিজাইন করা উচিত, যেমন 60 প্লাস 15 সমান 75 ভোল্ট। যাইহোক, 60 ভোল্টের একটি কার্যকর মান পেতে, একটি অর্ধ-তরঙ্গের সময়কাল, বা বরং একটি রূপান্তর সময়কাল, নামমাত্র মানের থেকে 25% কম হতে হবে। ভুলে যাবেন না যে কোনও ক্ষেত্রেই, স্যুইচিংয়ের মধ্যে বিরতির সময় হস্তক্ষেপ করবে, তাই পজ শেপার দ্বারা প্রবর্তিত 5% স্বয়ংক্রিয়ভাবে কেটে যাবে এবং আমাদের নিয়ন্ত্রণের প্রবণতা অবশিষ্ট 20% দ্বারা হ্রাস করা আবশ্যক।
রূপান্তর সময়কালের মধ্যে এই বিরতিটি সেকেন্ডারি পাওয়ার সাপ্লাই ফিল্টারের সূচনাকারীতে জমা হওয়া চৌম্বকীয় শক্তি এবং ক্যাপাসিটারগুলিতে জমা হওয়া চার্জ দ্বারা ক্ষতিপূরণ দেওয়া হবে। সত্য, আমি শ্বাসরোধের সামনে ইলেক্ট্রোলাইট রাখব না, তবে, অন্যান্য ক্যাপাসিটারগুলির মতো - শ্বাসরোধের পরে ক্যাপাসিটারগুলি ইনস্টল করা ভাল এবং, অবশ্যই ইলেক্ট্রোলাইটগুলি ছাড়াও, ফিল্মগুলি ইনস্টল করা ভাল - তারা আবেগ বৃদ্ধি এবং হস্তক্ষেপকে আরও ভালভাবে দমন করে। .
আউটপুট ভোল্টেজের স্থিতিশীলতা নিম্নরূপ বাহিত হয়। যদিও কোনও লোড নেই বা এটি খুব ছোট, ক্যাপাসিটার C8-C11 থেকে প্রায় কোনও শক্তি খরচ হয় না এবং এর পুনরুদ্ধারের জন্য খুব বেশি শক্তির প্রয়োজন হয় না এবং সেকেন্ডারি উইন্ডিং থেকে আউটপুট ভোল্টেজের প্রশস্ততা বেশ বড় হবে। তদনুসারে, অতিরিক্ত উইন্ডিং থেকে আউটপুট ভোল্টেজের প্রশস্ততা বড় হবে। এটি কন্ট্রোলারের প্রথম আউটপুটে ভোল্টেজ বৃদ্ধির কারণ হবে, যার ফলে ত্রুটি পরিবর্ধকটির আউটপুট ভোল্টেজ বৃদ্ধি পাবে এবং নিয়ন্ত্রণ ডালের সময়কাল এমন একটি মানতে হ্রাস পাবে যে সেখানে থাকবে পাওয়ার ট্রান্সফরমারে ব্যবহৃত শক্তি এবং সরবরাহ করা শক্তির মধ্যে একটি ভারসাম্য।
যত তাড়াতাড়ি খরচ বাড়তে শুরু করে, অতিরিক্ত উইন্ডিংয়ের ভোল্টেজ হ্রাস পায় এবং ত্রুটি পরিবর্ধকের আউটপুটে ভোল্টেজ স্বাভাবিকভাবেই হ্রাস পায়। এটি নিয়ন্ত্রণ ডালের সময়কাল বৃদ্ধি করে এবং ট্রান্সফরমারে সরবরাহ করা শক্তি বৃদ্ধি করে। খাওয়া এবং আউটপুট শক্তির মধ্যে ভারসাম্য না হওয়া পর্যন্ত নাড়ির সময়কাল বৃদ্ধি পায়। যদি লোড কমে যায়, তাহলে আবার ভারসাম্যহীনতা দেখা দেয় এবং কন্ট্রোলার এখন কন্ট্রোল পালসের সময়কাল কমাতে বাধ্য হবে।

যদি প্রতিক্রিয়ার মানগুলি ভুলভাবে নির্বাচন করা হয়, একটি ওভারশুট প্রভাব ঘটতে পারে। এটি শুধুমাত্র TL494 নয়, সমস্ত ভোল্টেজ স্টেবিলাইজারের ক্ষেত্রেও প্রযোজ্য। TL494-এর ক্ষেত্রে, ওভারশুট প্রভাব সাধারণত এমন ক্ষেত্রে ঘটে যেখানে কোনও প্রতিক্রিয়া লুপ নেই যা প্রতিক্রিয়াকে ধীর করে দেয়। অবশ্যই, আপনার প্রতিক্রিয়াটি খুব বেশি ধীর করা উচিত নয় - স্থিতিশীলতা সহগ ক্ষতিগ্রস্থ হতে পারে, তবে খুব দ্রুত একটি প্রতিক্রিয়া উপকারী নয়। এবং এই নিম্নলিখিত হিসাবে নিজেকে প্রকাশ. ধরা যাক আমাদের লোড বেড়েছে, ভোল্টেজ কমতে শুরু করেছে, পিডব্লিউএম কন্ট্রোলার ভারসাম্য পুনরুদ্ধার করার চেষ্টা করে, কিন্তু এটি খুব দ্রুত করে এবং নিয়ন্ত্রণ ডালের সময়কাল আনুপাতিকভাবে নয়, বরং আরও দৃঢ়ভাবে বৃদ্ধি করে। এই ক্ষেত্রে, কার্যকর ভোল্টেজ মান তীব্রভাবে বৃদ্ধি পায়। অবশ্যই, এখন নিয়ন্ত্রক দেখেন যে ভোল্টেজ স্থিতিশীল ভোল্টেজের চেয়ে বেশি এবং আউটপুট ভোল্টেজ এবং রেফারেন্সের ভারসাম্য বজায় রাখার চেষ্টা করে নাড়ির সময়কাল তীব্রভাবে হ্রাস করে। যাইহোক, পালস সময়কাল এটি হওয়া উচিত চেয়ে ছোট হয়ে গেছে এবং আউটপুট ভোল্টেজ প্রয়োজনের তুলনায় অনেক কম হয়ে গেছে। নিয়ন্ত্রক আবার ডালের সময়কাল বাড়ায়, কিন্তু আবার এটিকে অতিরিক্ত করেছে - ভোল্টেজটি প্রয়োজনের চেয়ে বেশি হয়ে গেছে এবং ডালের সময়কাল হ্রাস করা ছাড়া এর কোনও বিকল্প নেই।
এইভাবে, কনভার্টারের আউটপুটে, একটি স্থিতিশীল ভোল্টেজ গঠিত হয় না, তবে সেট একের 20-40% দ্বারা ওঠানামা করে, উভয় দিকে অতিরিক্ত এবং অবমূল্যায়নের দিক থেকে। অবশ্যই, ভোক্তাদের এই ধরনের পাওয়ার সাপ্লাই পছন্দ করার সম্ভাবনা নেই, তাই যেকোনো কনভার্টার একত্রিত করার পরে, এটি শান্টগুলিতে প্রতিক্রিয়ার গতির জন্য পরীক্ষা করা উচিত, যাতে নতুন একত্রিত নৈপুণ্যের সাথে অংশ না নেওয়া যায়।
ফিউজ দ্বারা বিচার করা, কনভার্টারটি বেশ শক্তিশালী, তবে এই ক্ষেত্রে, ক্যাপাসিটার C7 এবং C8 স্পষ্টতই যথেষ্ট নয়, তাদের প্রতিটিতে কমপক্ষে তিনটি যোগ করা উচিত। VD1 ডায়োড পোলারিটি রিভার্সাল থেকে রক্ষা করতে কাজ করে এবং যদি এটি ঘটে তবে এটি বেঁচে থাকার সম্ভাবনা কম - একটি 30-40 অ্যাম্পিয়ার ফিউজ ফুঁ দেওয়া এত সহজ নয়।
ঠিক আছে, দিনের শেষে, এটি যোগ করা বাকি থাকে যে এই রূপান্তরকারীটি প্রাচীর-কিনা সিস্টেমের সাথে সজ্জিত নয়, যেমন সরবরাহ ভোল্টেজের সাথে সংযুক্ত হলে, এটি অবিলম্বে শুরু হয় এবং শুধুমাত্র পাওয়ার বন্ধ করে বন্ধ করা যেতে পারে। এটি খুব সুবিধাজনক নয় - আপনার একটি মোটামুটি শক্তিশালী সুইচ প্রয়োজন হবে।

স্বয়ংচালিত ভোল্টেজ রূপান্তরকারী নম্বর 2, এছাড়াও একটি স্থিতিশীল আউটপুট ভোল্টেজ রয়েছে, যেমন একটি অপটোকপলারের উপস্থিতি দ্বারা প্রমাণিত হয়, যার LED আউটপুট ভোল্টেজের সাথে সংযুক্ত থাকে। অধিকন্তু, এটি TL431 এর মাধ্যমে সংযুক্ত, যা উল্লেখযোগ্যভাবে আউটপুট ভোল্টেজ বজায় রাখার নির্ভুলতা বাড়ায়। অপ্টোকপলারের ফটোট্রান্সজিস্টরটি একটি দ্বিতীয় TL431 মাইক্রোকন্ট্রোলার ব্যবহার করে একটি স্থিতিশীল ভোল্টেজের সাথে সংযুক্ত থাকে। এই স্টেবিলাইজারের সারমর্মটি আমাকে ব্যক্তিগতভাবে এড়িয়ে গেছে - মাইক্রোসার্কিটটি পাঁচটি ভোল্টকে স্থিতিশীল করেছে এবং একটি অতিরিক্ত স্টেবিলাইজার ইনস্টল করার কোন মানে হয় না। ফটোট্রান্সজিস্টরের ইমিটার ত্রুটি পরিবর্ধক (পিন 1) এর নন-ইনভার্টিং ইনপুটে যায়। ত্রুটি পরিবর্ধক নেতিবাচক প্রতিক্রিয়া দ্বারা আচ্ছাদিত করা হয়, এবং এর প্রতিক্রিয়া কমাতে, প্রতিরোধক R10 এবং ক্যাপাসিটর C2 চালু করা হয়।

দ্বিতীয় ত্রুটি পরিবর্ধক একটি জরুরী পরিস্থিতিতে রূপান্তরকারীকে বন্ধ করতে বাধ্য করতে ব্যবহৃত হয় - যদি ষোড়শ পিনে একটি ভোল্টেজ থাকে যা বিভাজক R13 এবং R16 দ্বারা উত্পন্ন হয়, এবং এটি প্রায় আড়াই ভোল্ট, নিয়ামক নিয়ন্ত্রণ ডালগুলির সময়কাল কমাতে শুরু করবে যতক্ষণ না তারা সম্পূর্ণরূপে অদৃশ্য হয়ে যায়।
সফ্ট স্টার্টটি আগের স্কিমের মতো ঠিক একইভাবে সংগঠিত হয়েছে - বিরতি সময় গঠনের মাধ্যমে, যদিও ক্যাপাসিটর C3 এর ক্যাপাসিট্যান্স কিছুটা ছোট - আমি এটিকে 4.7...10 µF এ সেট করব৷
মাইক্রোসার্কিটের আউটপুট পর্যায়টি ইমিটার ফলোয়ার মোডে কাজ করে, ট্রানজিস্টর VT1-VT4-এ একটি পূর্ণাঙ্গ অতিরিক্ত ইমিটার ফলোয়ার ব্যবহার করা হয়, যা পাওয়ার ফিল্ড ডিভাইসের গেটে লোড করা হয়, যদিও আমি কমিয়ে দেব। R22-R25 থেকে 22...33 ওহমস রেটিং। এর পরে রয়েছে স্নুবার এবং একটি পাওয়ার ট্রান্সফরমার, যার পরে একটি ডায়োড ব্রিজ এবং একটি অ্যান্টি-আলিয়াসিং ফিল্টার রয়েছে। এই সার্কিটের ফিল্টারটি আরও সঠিকভাবে তৈরি করা হয়েছে - এটি একই কোরে রয়েছে এবং একই সংখ্যক বাঁক রয়েছে। এই অন্তর্ভুক্তি সর্বোচ্চ সম্ভাব্য পরিস্রাবণ প্রদান করে, যেহেতু বিপরীত চৌম্বক ক্ষেত্র একে অপরকে বাতিল করে দেয়।
স্টেনবাই মোডটি ট্রানজিস্টর VT9 এবং রিলে K1 ব্যবহার করে সংগঠিত হয়, যার পরিচিতিগুলি শুধুমাত্র নিয়ামককে শক্তি সরবরাহ করে। পাওয়ার অংশটি ক্রমাগত সরবরাহ ভোল্টেজের সাথে সংযুক্ত থাকে এবং যতক্ষণ না কন্ট্রোলার থেকে কন্ট্রোল ডালগুলি উপস্থিত হয়, ট্রানজিস্টর VT5-VT8 বন্ধ থাকবে।
HL1 LED নির্দেশ করে যে নিয়ামক সরবরাহ ভোল্টেজের সাথে সরবরাহ করা হয়।

পরের ডায়াগ্রাম... পরবর্তী ডায়াগ্রাম হল... এটা স্বয়ংচালিত ভোল্টেজ রূপান্তরকারী তৃতীয় সংস্করণ, তবে আসুন এটিকে ক্রমে নেওয়া যাক ...

চলুন শুরু করা যাক ঐতিহ্যগত বিকল্প থেকে প্রধান পার্থক্য, যেমন একটি স্বয়ংচালিত রূপান্তরকারী একটি অর্ধ-ব্রিজ ড্রাইভার ব্যবহার। ঠিক আছে, আপনি কোনওভাবে এটির সাথে চুক্তিতে আসতে পারেন - মাইক্রোসার্কিটের ভিতরে একটি ভাল খোলার এবং বন্ধ করার গতি সহ 4 টি ট্রানজিস্টর রয়েছে এবং এমনকি দুই-অ্যাম্পিয়ারও রয়েছে। উপযুক্ত সংযোগ তৈরি করার পরে, এটিকে পুশ-পুল অপারেটিং মোডে চালিত করা যেতে পারে, তবে, মাইক্রোসার্কিট আউটপুট সংকেতকে উল্টে দেয় না এবং কন্ট্রোলারের সংগ্রাহকদের কাছ থেকে এর ইনপুটগুলিতে নিয়ন্ত্রণ ডাল সরবরাহ করা হয়, তাই যত তাড়াতাড়ি সম্ভব কন্ট্রোলার কন্ট্রোল ডালগুলির মধ্যে একটি বিরতি ইস্যু করে, যৌক্তিক স্তরের সাথে সম্পর্কিত স্তরগুলি TLki আউটপুট স্টেজ ইউনিটগুলির সংগ্রাহকগুলিতে প্রদর্শিত হবে, যেমন সরবরাহ ভোল্টেজের কাছাকাছি। ইর্ক পাস করার পরে, ডালগুলি পাওয়ার ট্রানজিস্টরগুলির গেটে পাঠানো হবে, যা নিরাপদে খোলা থাকবে। উভয়... একই সাথে. অবশ্যই, আমি বুঝতে পেরেছি যে প্রথমবার FB180SA10 ট্রানজিস্টরগুলি ধ্বংস করা সম্ভব নাও হতে পারে - সর্বোপরি, 180 অ্যাম্পিয়ার বিকাশ করতে হবে এবং এই জাতীয় স্রোতে সাধারণত ট্র্যাকগুলি জ্বলতে শুরু করে, তবে এটি এখনও একরকম খুব কঠোর। . আর এই একই ট্রানজিস্টরের দাম একজনের জন্য হাজারের বেশি।
পরবর্তী রহস্যময় বিন্দু হল প্রাইমারি পাওয়ার বাসে অন্তর্ভুক্ত একটি বর্তমান ট্রান্সফরমার ব্যবহার, যার মাধ্যমে সরাসরি কারেন্ট প্রবাহিত হয়। এটা স্পষ্ট যে এই ট্রান্সফরমারে স্যুইচিংয়ের মুহুর্তে কারেন্টের পরিবর্তনের কারণে এখনও কিছু প্ররোচিত হবে, তবে এটি সম্পূর্ণরূপে সঠিক নয়। না, ওভারলোড সুরক্ষা কাজ করবে, কিন্তু কিভাবে সঠিকভাবে? সর্বোপরি, বর্তমান ট্রান্সফরমারের আউটপুটটিও ডিজাইন করা হয়েছে, এটিকে হালকাভাবে বলার জন্য, খুব আসল - পিন 15-এ বর্তমানের বৃদ্ধির সাথে, যা ত্রুটি পরিবর্ধকটির ইনভার্টিং ইনপুট, প্রতিরোধক R18 দ্বারা উত্পন্ন ভোল্টেজের সাথে একসাথে R20 এর ডিভাইডার কমে যাবে। অবশ্যই, এই আউটপুটে ভোল্টেজ হ্রাস ত্রুটির পরিবর্ধক থেকে ভোল্টেজ বৃদ্ধির কারণ হবে, যার ফলে নিয়ন্ত্রণ ডালগুলি সংক্ষিপ্ত হবে। যাইহোক, R18 প্রাথমিক পাওয়ার বাসের সাথে সরাসরি সংযুক্ত এবং এই বাসে যে সমস্ত বিশৃঙ্খলা ঘটে তা সরাসরি ওভারলোড সুরক্ষার অপারেশনকে প্রভাবিত করবে।
আউটপুট ভোল্টেজ স্ট্যাবিলাইজেশন সামঞ্জস্য সম্পন্ন হয়েছে... ঠিক আছে, নীতিগতভাবে, পাওয়ার পার্টের অপারেশনের মতোই... কনভার্টার শুরু করার পর, আউটপুট ভোল্টেজ যত তাড়াতাড়ি অপ্টোকপলার LED U1.2 এর মান পর্যন্ত পৌঁছাবে আলো হতে শুরু করে, অপটোকপলার ট্রানজিস্টর U1.1 খোলে। এর খোলার ফলে R10 এবং R11-এ বিভাজক দ্বারা তৈরি ভোল্টেজ হ্রাস পায়। এর ফলে ত্রুটি পরিবর্ধক এর আউটপুট ভোল্টেজ হ্রাস পায়, যেহেতু এই ভোল্টেজটি পরিবর্ধকের নন-ইনভার্টিং ইনপুটের সাথে সংযুক্ত থাকে। ঠিক আছে, যেহেতু ত্রুটি পরিবর্ধকের আউটপুটে ভোল্টেজ কমে যায়, তাই নিয়ামক পালসের সময়কাল বাড়াতে শুরু করে, যার ফলে অপটোকপলার এলইডির উজ্জ্বলতা বৃদ্ধি পায়, যা ফটোট্রান্সিস্টারকে আরও বেশি করে খোলে এবং নাড়ির সময়কাল আরও বাড়িয়ে দেয়। আউটপুট ভোল্টেজ সর্বাধিক সম্ভাব্য মান পৌঁছানো পর্যন্ত এটি ঘটে।
সাধারণভাবে, স্কিমটি এতটাই আসল যে আপনি কেবল এটি আপনার শত্রুকে পুনরাবৃত্তি করতে দিতে পারেন এবং এই পাপের জন্য আপনাকে জাহান্নামে চিরন্তন যন্ত্রণার গ্যারান্টি দেওয়া হয়। আমি জানি না কে দোষারোপ করবে... ব্যক্তিগতভাবে, আমি ধারণা পেয়েছি যে এটি কারও কোর্স ওয়ার্ক, বা হতে পারে একটি ডিপ্লোমা, কিন্তু আমি এটি বিশ্বাস করতে চাই না, কারণ এটি প্রকাশিত হলে, এর অর্থ এটি ছিল সুরক্ষিত, এবং এর মানে হল যে যোগ্যতা শিক্ষণ কর্মীরা আমার ধারণার চেয়ে অনেক খারাপ অবস্থায় আছে...

স্বয়ংচালিত ভোল্টেজ রূপান্তরকারীর চতুর্থ সংস্করণ.
আমি বলব না যে এটি একটি আদর্শ বিকল্প, যাইহোক, এক সময়ে এই প্রকল্পের বিকাশে আমার হাত ছিল। এখানে অবিলম্বে একটি উপশমকারীর একটি ছোট অংশ - পিন পনের এবং ষোল একসাথে সংযুক্ত এবং একটি সাধারণ তারের সাথে সংযুক্ত, যদিও যৌক্তিকভাবে পঞ্চদশ পিনটি চৌদ্দতমের সাথে সংযুক্ত হওয়া উচিত। যাইহোক, দ্বিতীয় ত্রুটি পরিবর্ধক ইনপুট গ্রাউন্ডিং কোনো ভাবেই কর্মক্ষমতা প্রভাবিত করেনি. অতএব, পনেরতম পিনটি কোথায় সংযোগ করতে হবে তা আমি আপনার বিবেচনার উপর ছেড়ে দেব।

অভ্যন্তরীণ স্টেবিলাইজারের পাঁচ-ভোল্ট আউটপুট এই সার্কিটে খুব নিবিড়ভাবে ব্যবহার করা হয়। পাঁচ ভোল্ট একটি রেফারেন্স ভোল্টেজ গঠন করে যার সাথে আউটপুট ভোল্টেজ তুলনা করা হবে। এটি প্রতিরোধক R8 এবং R2 ব্যবহার করে করা হয়। রেফারেন্স ভোল্টেজের লহর কমাতে, একটি ক্যাপাসিটর C1 R2 এর সাথে সমান্তরালভাবে সংযুক্ত থাকে। যেহেতু প্রতিরোধক R8 এবং R2 একই, রেফারেন্স ভোল্টেজ হল আড়াই ভোল্ট।
একটি সফট স্টার্টের জন্যও পাঁচটি ভোল্ট ব্যবহার করা হয় - ক্যাপাসিটর C6, চালু হওয়ার মুহুর্তে, সংক্ষিপ্তভাবে নিয়ামকের চতুর্থ পিনে পাঁচটি ভোল্ট গঠন করে, যেমন এটি চার্জ করার সময়, নিয়ন্ত্রণ ডালগুলির মধ্যে জোর করে বিরতির সময় সর্বাধিক থেকে নামমাত্র মান পর্যন্ত পরিবর্তিত হবে।
একই পাঁচটি ভোল্ট ডিএ অপ্টোকপ্লারের ফটোট্রান্সজিস্টরের সংগ্রাহকের সাথে সংযুক্ত থাকে এবং এর বিকিরণকারী, R5 এবং R4-এর একটি ছোট বিভাজকের মাধ্যমে, প্রথম ত্রুটির পরিবর্ধকের নন-ইনভার্টিং ইনপুটের সাথে সংযুক্ত থাকে - পিন 1। পিন 2 হল ত্রুটি পরিবর্ধক আউটপুট থেকে নেতিবাচক প্রতিক্রিয়া সংযুক্ত. প্রতিক্রিয়াটি ক্যাপাসিটর C2 দ্বারা সরবরাহ করা হয়, যা কন্ট্রোলারের প্রতিক্রিয়াকে ধীর করে দেয়, যার ক্যাপাসিট্যান্স দশটি ন্যানোফ্যারাড থেকে ষাটটি ন্যানোফ্যারড পর্যন্ত হতে পারে।
কন্ট্রোলারের আউটপুট পর্যায়টি রিপিটার মোডে কাজ করে এবং বর্তমান পরিবর্ধন VT3-VT6-এ একটি ট্রানজিস্টর ড্রাইভার পর্যায় দ্বারা উত্পাদিত হয়। অবশ্যই, ড্রাইভার পর্যায়ের শক্তি একাধিক জোড়া পাওয়ার ট্রানজিস্টর নিয়ন্ত্রণ করার জন্য যথেষ্ট, আসলে, এটিই বাজি স্থাপন করা হয়েছিল - প্রাথমিকভাবে কন্ট্রোলার সহ বোর্ডটি পাওয়ার অংশ থেকে আলাদাভাবে তৈরি করা হয়েছিল, কিন্তু শেষ এই খুব সুবিধাজনক না হতে পরিণত. অতএব, মুদ্রিত কন্ডাক্টরগুলিকে প্রধান বোর্ডে স্থানান্তরিত করা হয়েছিল, এবং ট্রান্সফরমারগুলি এবং অবশ্যই পাওয়ার ট্রানজিস্টরগুলি ইতিমধ্যেই বোর্ড প্রসারিত করে বৈচিত্র্যময় ছিল।
পাওয়ার ট্রান্সফরমারটি বর্তমান ট্রান্সফরমারের মাধ্যমে ট্রানজিস্টরের সাথে সংযুক্ত থাকে, যা ওভারলোড সুরক্ষার কার্যকারিতার জন্য দায়ী। এই সংস্করণে স্নুবার ইনস্টল করা হয়নি - গুরুতর রেডিয়েটার ব্যবহার করা হয়েছিল।
ইউপিআর টার্মিনালে একটি ভোল্টেজ উপস্থিত হওয়ার সাথে সাথে কনভার্টারটিকে কাজ করার অনুমতি দেয়, ট্রানজিস্টর VT2 খোলে, যা VT1 কে স্যাচুরেশনে চালিত করে। VT1 এর ইমিটারে 15 এ ইন্টিগ্রেটেড স্টেবিলাইজার থেকে ভোল্টেজ রয়েছে, যা ডায়োড VD5 থেকে সরবরাহ করা সাপ্লাই ভোল্টেজকে সহজেই পাস করে, কারণ এটি স্টেবিলাইজেশন ভোল্টেজের চেয়ে কম। রোধ R28 এর মাধ্যমে এই ডায়োডে বারো ভোল্টের প্রধান সরবরাহ ভোল্টেজ সরবরাহ করা হয়। খোলার পরে, VT1 কন্ট্রোলার এবং ড্রাইভার ট্রানজিস্টরগুলিতে শক্তি সরবরাহ করে এবং রূপান্তরকারী শুরু হয়। পাওয়ার ট্রান্সফরমারে ডালগুলি উপস্থিত হওয়ার সাথে সাথে, এর উইন্ডিংয়ের ভোল্টেজ মূল সরবরাহের দ্বিগুণ মূল্যে পৌঁছে যায় এবং এটি, ডায়োড VD4 এবং VD6 এর মধ্য দিয়ে 15 ভোল্টে স্টেবিলাইজারের ইনপুটে সরবরাহ করা হয়। এইভাবে, কনভার্টার শুরু করার পরে, কন্ট্রোলারটি স্থিতিশীল শক্তি দিয়ে চালিত হয়। এই সার্কিট ডিজাইন আপনাকে ছয় থেকে সাত ভোল্টের পাওয়ার সাপ্লাই দিয়েও কনভার্টারের স্থিতিশীল অপারেশন বজায় রাখতে দেয়।
আউটপুট ভোল্টেজের স্থিতিশীলতা ডিএ অপ্টোকপ্লারের এলইডির গ্লো পর্যবেক্ষণ করে সঞ্চালিত হয়, যার এলইডি একটি প্রতিরোধী বিভাজকের মাধ্যমে এটির সাথে সংযুক্ত থাকে। তাছাড়া, আউটপুট ভোল্টেজের শুধুমাত্র একটি বাহু নিয়ন্ত্রিত হয়। দ্বিতীয় বাহুর স্থিতিশীলতা একটি চৌম্বকীয় সংযোগের মাধ্যমে সঞ্চালিত হয় যা ইন্ডাকট্যান্স কোর L2 এবং L3 এ ঘটে, যেহেতু এই ফিল্টারটি একই কোরে তৈরি করা হয়। আউটপুট ভোল্টেজের ধনাত্মক বাহুতে লোড বাড়ার সাথে সাথে কোরটি চুম্বকীয় হতে শুরু করে এবং ফলস্বরূপ, ডায়োড ব্রিজ থেকে ঋণাত্মক ভোল্টেজের জন্য কনভার্টারের আউটপুটে পৌঁছানো আরও কঠিন, নেতিবাচক ভোল্টেজ ব্যর্থ হতে শুরু করে এবং অপটোকপলার এলইডি এতে প্রতিক্রিয়া দেখায়, কন্ট্রোলারকে কন্ট্রোল ডালের সময়কাল বাড়াতে বাধ্য করে। অন্য কথায়, ফিল্টারিং ফাংশন ছাড়াও, চোক একটি গ্রুপ স্টেবিলাইজেশন চোক হিসাবে কাজ করে এবং কম্পিউটার পাওয়ার সাপ্লাইয়ের মতো ঠিক একইভাবে কাজ করে, একসাথে বেশ কয়েকটি আউটপুট ভোল্টেজকে স্থিতিশীল করে।
ওভারলোড সুরক্ষা কিছুটা অশোধিত, তবে তা সত্ত্বেও বেশ কার্যকরী। সুরক্ষা থ্রেশহোল্ড প্রতিরোধক R26 দ্বারা সামঞ্জস্য করা হয়। পাওয়ার ট্রানজিস্টরগুলির মাধ্যমে কারেন্ট একটি গুরুত্বপূর্ণ মূল্যে পৌঁছানোর সাথে সাথে, বর্তমান ট্রান্সফরমার থেকে ভোল্টেজটি থাইরিস্টর VS1 খোলে এবং এটি UPR টার্মিনাল থেকে স্থলভাগে নিয়ন্ত্রণ ভোল্টেজ বন্ধ করে দেয়, যার ফলে কন্ট্রোলার থেকে সরবরাহ ভোল্টেজ অপসারণ হয়। উপরন্তু, রোধ R19 এর মাধ্যমে, ক্যাপাসিটর C7 দ্রুত নিঃসৃত হয়, যার ক্যাপাসিট্যান্স এখনও 100 μF-এ কমানো হয়।
ট্রিগার করা সুরক্ষা রিসেট করার জন্য, কন্ট্রোল টার্মিনালে ভোল্টেজ অপসারণ এবং পুনরায় প্রয়োগ করা প্রয়োজন।
এই কনভার্টারটির আরেকটি বৈশিষ্ট্য হল পাওয়ার ট্রানজিস্টরের গেটে ক্যাপাসিটর-প্রতিরোধী ভোল্টেজ ড্রাইভার ব্যবহার করা। এই চেইনগুলি ইনস্টল করার মাধ্যমে, গেটগুলিতে একটি নেতিবাচক ভোল্টেজ অর্জন করা সম্ভব হয়েছিল, যা পাওয়ার ট্রানজিস্টর বন্ধ করার গতি বাড়ানোর জন্য ডিজাইন করা হয়েছে। যাইহোক, ট্রানজিস্টর বন্ধ করার এই পদ্ধতিটি দক্ষতা বৃদ্ধি বা তাপমাত্রা হ্রাসের দিকে পরিচালিত করেনি, এমনকি স্নুবার ব্যবহার করেও এটি পরিত্যক্ত হয়েছিল - কম অংশ - আরও নির্ভরযোগ্যতা।

আচ্ছা, শেষটা, পঞ্চম গাড়ী রূপান্তরকারী. এই স্কিমটি আগেরটির একটি যৌক্তিক ধারাবাহিকতা, তবে অতিরিক্ত ফাংশন দিয়ে সজ্জিত যা এর ভোক্তা বৈশিষ্ট্যগুলিকে উন্নত করে। REM কন্ট্রোল ভোল্টেজ একটি পুনরুদ্ধারযোগ্য 85 ডিগ্রি থার্মাল ফিউজ KSD301 এর মাধ্যমে সরবরাহ করা হয়, যা কনভার্টার হিটসিঙ্কে ইনস্টল করা আছে। আদর্শভাবে, পাওয়ার এম্প্লিফায়ার এবং ভোল্টেজ কনভার্টার উভয়ের জন্য একটি রেডিয়েটর থাকা উচিত।

যদি তাপীয় ফিউজ যোগাযোগ বন্ধ থাকে, যেমন তাপমাত্রা পঁচাশি ডিগ্রির কম, তখন আরইএম টার্মিনাল থেকে কন্ট্রোল ভোল্টেজ ট্রানজিস্টর VT14 খোলে, যার ফলে VT13 খোলে এবং প্রধান শক্তি উৎস থেকে বারো ভোল্ট পনের-ভোল্ট KRENKI-এর ইনপুটে সরবরাহ করা হয়। যেহেতু ইনপুট ভোল্টেজ ক্রেঙ্কা স্টেবিলাইজেশন ভোল্টেজের চেয়ে কম, এটি তার আউটপুটে প্রায় অপরিবর্তিত প্রদর্শিত হবে - শুধুমাত্র নিয়ন্ত্রক ট্রানজিস্টরের একটি ড্রপ একটি ছোট ড্রপ প্রবর্তন করবে। ক্রেঙ্কা থেকে, নিয়ামক নিজেই এবং ড্রাইভার পর্যায়ে VT4-VT7 এর ট্রানজিস্টরগুলিতে শক্তি সরবরাহ করা হয়। অভ্যন্তরীণ পাঁচ-ভোল্ট স্টেবিলাইজারটি ভোল্টেজ তৈরি করার সাথে সাথে, ক্যাপাসিটর C6 চার্জ করা শুরু করে, নিয়ন্ত্রণ ডালের মধ্যে বিরতির সময়কাল হ্রাস করে। কন্ট্রোল ডালগুলি ট্রান্সফরমারের সেকেন্ডারি উইন্ডিংগুলিতে পাওয়ার ট্রানজিস্টরগুলি খুলতে শুরু করবে এবং সেকেন্ডারি ভোল্টেজগুলি কার্যকরী মান বাড়াতে শুরু করবে; প্রথম সেকেন্ডারি ওয়াইন্ডিং থেকে, মিডপয়েন্ট সহ একটি রেকটিফায়ারের মাধ্যমে 24 ভোল্টের একটি ভোল্টেজ ক্যাপাসিটর C18 এর ধনাত্মক টার্মিনালে পৌঁছাবে এবং যেহেতু এর ভোল্টেজ প্রধান বারো-ভোল্ট ডায়োডের চেয়ে বেশি VD13 বন্ধ হয়ে যাবে এবং এখন কন্ট্রোলারটি থেকে চালিত হবে সেকেন্ডারি উইন্ডিং নিজেই। উপরন্তু, চব্বিশ ভোল্ট পনেরের বেশি, তাই পনের-ভোল্টের স্টেবিলাইজারটি কার্যকর হবে এবং এখন কন্ট্রোলারটি একটি স্থিতিশীল ভোল্টেজ দ্বারা চালিত হবে।
কন্ট্রোল পালস বাড়লে, দ্বিতীয় সেকেন্ডারি ওয়াইন্ডিং-এ কার্যকর ভোল্টেজের মান বাড়বে এবং অপ্টোকপলার DA-এর LED যে মানে পৌছাবে, ফটোট্রান্সিস্টর খুলতে শুরু করবে এবং সিস্টেমটি অর্জন করতে শুরু করবে। একটি স্থিতিশীল অবস্থা - ডালের সময়কাল বৃদ্ধি বন্ধ হবে, যেহেতু ফটোট্রান্সজিস্টরের নির্গমন কন্ট্রোলার ত্রুটি পরিবর্ধকের একটি নন-ইনভার্টিং আউটপুটের সাথে সংযুক্ত থাকে। লোড বাড়ার সাথে সাথে আউটপুট ভোল্টেজ কমতে শুরু করবে, স্বাভাবিকভাবেই LED এর উজ্জ্বলতা কমতে শুরু করবে, কন্ট্রোলারের প্রথম পিনের ভোল্টেজও হ্রাস পাবে এবং কন্ট্রোলারটি পুনরুদ্ধার করার জন্য পালসের সময়কাল ঠিক যথেষ্ট বাড়িয়ে দেবে। LED এর উজ্জ্বলতা আবার।
আউটপুট ভোল্টেজ নেতিবাচক দিকে নিয়ন্ত্রিত হয়, এবং ধনাত্মক দিকে খরচ পরিবর্তনের প্রতিক্রিয়া গ্রুপ স্টেবিলাইজেশন চোক L1 এর কারণে সঞ্চালিত হয়। নিয়ন্ত্রিত ভোল্টেজের প্রতিক্রিয়ার গতি বাড়ানোর জন্য, ঋণাত্মক আর্মটি অতিরিক্ত রোধ R38 দিয়ে লোড করা হয়। এখানে আমাদের অবিলম্বে একটি রিজার্ভেশন করা উচিত - সেকেন্ডারি পাওয়ার সাপ্লাইতে খুব বড় ইলেক্ট্রোলাইট সংযুক্ত করার দরকার নেই - উচ্চ রূপান্তর ফ্রিকোয়েন্সিতে তারা খুব কমই কাজে লাগে, তবে তারা সামগ্রিক স্থিতিশীলতা সহগের উপর উল্লেখযোগ্য প্রভাব ফেলতে পারে - যাতে ভোল্টেজ ইতিবাচক বাহুতে লোড বাড়লে বাড়তে শুরু করে, নেতিবাচক কাঁধের ভোল্টেজও হ্রাস করা উচিত। যদি নেতিবাচক বাহুতে খরচ বড় না হয় এবং ক্যাপাসিটর C24 এর ক্যাপাসিট্যান্স বেশ বড় হয়, তবে এটি বেশ দীর্ঘ সময়ের জন্য ডিসচার্জ হবে এবং নিয়ন্ত্রণের কাছে ট্র্যাক করার সময় থাকবে না যে ধনাত্মক বাহুতে ভোল্টেজ ব্যর্থ হয়েছে। .
এই কারণেই কনভার্টার বোর্ডে কাঁধে 1000 μF এবং পাওয়ার অ্যামপ্লিফায়ার বোর্ডগুলিতে 220...470 μF এর বেশি সেট না করার জন্য দৃঢ়ভাবে সুপারিশ করা হয়।
অডিও সিগন্যালের শীর্ষে শক্তির অভাব ট্রান্সফরমারের সামগ্রিক শক্তি দ্বারা ক্ষতিপূরণ দিতে হবে।
ওভারলোড সুরক্ষা একটি বর্তমান ট্রান্সফরমারে সঞ্চালিত হয়, যে ভোল্টেজটি ডায়োড VD5 এবং VD6 দ্বারা সংশোধন করা হয় এবং সংবেদনশীলতা নিয়ন্ত্রক R26 এ যায়। এর পরে, VD4 ডায়োডের মধ্য দিয়ে যাওয়ার সময়, যা এক ধরণের প্রশস্ততা সীমাবদ্ধ, ভোল্টেজ VT8 ট্রানজিস্টরের বেসে পৌঁছে। এই ট্রানজিস্টরের সংগ্রাহক শ্মিট ট্রিগারের ইনপুটের সাথে সংযুক্ত, VT2-VT3 এ একত্রিত হয় এবং ট্রানজিস্টর VT8 খোলার সাথে সাথে এটি VT3 বন্ধ করে দেয়। সংগ্রাহক VT3 এ ভোল্টেজ বৃদ্ধি পাবে এবং VT2 খুলবে, VT1 খুলবে।
ট্রিগার এবং VT1 উভয়ই কন্ট্রোলারের পাঁচ-ভোল্ট স্টেবিলাইজার থেকে চালিত হয়, এবং যখন VT1 খোলা হয়, তখন পাঁচটি ভোল্ট কন্ট্রোলারের ষোড়শ পিনে চলে যায়, যা কন্ট্রোল পালসের সময়কালকে তীব্রভাবে হ্রাস করে। এছাড়াও, ডায়োড VD3 এর মাধ্যমে পাঁচ ভোল্ট পিন চারে পৌঁছায়, জোরপূর্বক বিরতির সময়কে সর্বোচ্চ সম্ভাব্য মান পর্যন্ত বাড়িয়ে দেয়, যেমন কন্ট্রোল পালস একবারে দুটি উপায়ে হ্রাস করা হয় - একটি ত্রুটি পরিবর্ধকের মাধ্যমে, যার নেতিবাচক প্রতিক্রিয়া নেই এবং একটি তুলনাকারী হিসাবে কাজ করে, প্রায় তাত্ক্ষণিকভাবে নাড়ির সময়কাল হ্রাস করে এবং একটি বিরতি সময়কাল ড্রাইভারের মাধ্যমে, যা এখন, একটি ডিসচার্জড ক্যাপাসিটরের মাধ্যমে, ধীরে ধীরে নাড়ির সময়কাল বাড়ানো শুরু করুন এবং যদি লোড এখনও খুব বেশি হয় তবে VT8 খোলার সাথে সাথে সুরক্ষা আবার কাজ করবে। যাইহোক, VT2-VT3 এর ট্রিগারের আরও একটি কাজ রয়েছে - এটি 12 ভোল্টের প্রধান প্রাথমিক ভোল্টেজের মান পর্যবেক্ষণ করে এবং যত তাড়াতাড়ি এটি 9-10 ভোল্টের কম হয়ে যায় VT3 বেসে সরবরাহ করা প্রতিরোধক R21 এবং R22 এর মাধ্যমে, পক্ষপাত। যথেষ্ট হবে না এবং VT3 বন্ধ হয়ে যাবে, VT2 এবং VT1 খুলবে। কন্ট্রোলার বন্ধ হয়ে যাবে এবং সেকেন্ডারি পাওয়ার হারিয়ে যাবে।
এই মডিউলটি গাড়িটি চালু করার একটি সুযোগ ছেড়ে দেয় যদি হঠাৎ তার মালিক যখন গাড়িটি চলছে না তখন গান শোনার সিদ্ধান্ত নেয় এবং গাড়ির স্টার্টার শুরু হওয়ার সময় হঠাৎ ভোল্টেজ ড্রপ থেকে পাওয়ার অ্যামপ্লিফায়ারকে রক্ষা করে - কনভার্টারটি কেবল জটিল মুহূর্তের জন্য অপেক্ষা করে। খরচ, শক্তি পরিবর্ধক এবং তার নিজস্ব পাওয়ার সুইচ উভয়ই রক্ষা করে।
এই রূপান্তরকারীর মুদ্রিত সার্কিট বোর্ডের একটি অঙ্কন, এবং দুটি বিকল্প আছে - এক এবং দুটি ট্রান্সফরমার।
কেন দুটি ট্রান্সফরমার?
আরো ক্ষমতা পেতে. আসল বিষয়টি হ'ল অটোমোবাইল কনভার্টারগুলিতে ট্রান্সফরমারের সামগ্রিক শক্তি বারো ভোল্টের সরবরাহ ভোল্টেজ দ্বারা সীমাবদ্ধ, যার জন্য ট্রান্সফরমারের একটি নির্দিষ্ট সংখ্যক বাঁক প্রয়োজন। ডাব্লু-আকৃতির ফেরাইটের জন্য প্রাথমিক অর্ধ-ওয়াইন্ডিংয়ে রিংটিতে কমপক্ষে চারটি বাঁক থাকতে হবে, বাঁকগুলির সংখ্যা কমিয়ে তিন করা যেতে পারে।

এই সীমাবদ্ধতাটি মূলত এই কারণে যে অল্প সংখ্যক বাঁক নিয়ে, চৌম্বক ক্ষেত্র আর অভিন্ন হয় না এবং খুব বড় ক্ষতি হয়। এর অর্থ এই যে রূপান্তর ফ্রিকোয়েন্সি উচ্চতর ফ্রিকোয়েন্সিতে বাড়ানো সম্ভব নয় - আপনাকে বাঁকের সংখ্যা কমাতে হবে এবং এটি অনুমোদিত নয়।
সুতরাং দেখা যাচ্ছে যে সামগ্রিক শক্তি প্রাথমিক ওয়াইন্ডিংয়ের বাঁকগুলির সংখ্যা এবং রূপান্তরের ছোট ফ্রিকোয়েন্সি পরিসীমা দ্বারা সীমাবদ্ধ - আপনি 20 kHz এর নীচে যেতে পারবেন না - রূপান্তরকারী থেকে হস্তক্ষেপ অডিও পরিসরে হওয়া উচিত নয়, কারণ তারা করবে বক্তাদের শোনার জন্য সর্বাত্মক চেষ্টা করুন।
আপনি 40 kHz এর উপরেও যেতে পারবেন না - প্রাথমিক ওয়াইন্ডিংয়ের বাঁকের সংখ্যা খুব ছোট হয়ে যায়।
আপনি যদি আরও শক্তি পেতে চান, তবে একমাত্র সমাধানটি ট্রান্সফরমারের সংখ্যা বাড়ানো এবং দুটি সর্বাধিক সম্ভব থেকে অনেক দূরে।
কিন্তু এখানে আরেকটি প্রশ্ন উঠছে: কিভাবে সব ট্রান্সফরমার নিরীক্ষণ করবেন? আমি খুব বেশি গ্রুপ স্টেবিলাইজেশন চোক ইনস্টল করতে চাই না বা নির্দিষ্ট সংখ্যক অপ্টোকপলার প্রবর্তন করতে চাই না। অতএব, একমাত্র নিয়ন্ত্রণ পদ্ধতি গৌণ windings একটি সিরিজ সংযোগ অবশেষ. এই ক্ষেত্রে, খরচে ভারসাম্যহীনতা দূর করা হয় এবং আউটপুট ভোল্টেজ নিয়ন্ত্রণ করা অনেক সহজ, তবে, ট্রান্সফরমারগুলির সমাবেশ এবং ফেজিংয়ে সর্বাধিক মনোযোগ দিতে হবে।
এখন সার্কিট ডায়াগ্রাম এবং বোর্ডের মধ্যে পার্থক্য সম্পর্কে একটু। আসল বিষয়টি হ'ল এই নীতিতে কেবল সার্কিটের সবচেয়ে মৌলিক পয়েন্টগুলি নির্দেশিত হয়, যখন মুদ্রিত পৃষ্ঠায় উপাদানগুলি বাস্তবতা অনুসারে সাজানো হয়। উদাহরণস্বরূপ, সার্কিট বোর্ডে বিদ্যুৎ সরবরাহের জন্য কোনও ফিল্ম ক্যাপাসিটার নেই, তবে বোর্ডে কিছু রয়েছে। অবশ্যই, তাদের জন্য মাউন্টিং গর্তগুলি বিকাশের সময় উপলব্ধ ক্যাপাসিটারগুলির মাত্রা অনুসারে তৈরি করা হয়। অবশ্যই, যদি 2.2 μF এর কোন ক্যাপাসিট্যান্স না থাকে, আপনি 1 μF ব্যবহার করতে পারেন, তবে 0.47 μF এর কম নয়।
পাওয়ার সাপ্লাইয়ের ক্ষেত্রে, সার্কিটে 4700 uF ইলেক্ট্রোলাইটও ইনস্টল করা আছে, কিন্তু তাদের পরিবর্তে বোর্ডে 2200 uF 25 ভোল্টের ক্যাপাসিটারগুলির একটি সম্পূর্ণ সেট রয়েছে এবং ক্যাপাসিটারগুলি কম ESR সহ হওয়া উচিত, এইগুলি একই রকম বিক্রেতাদের দ্বারা "মাদারবোর্ডের জন্য" হিসাবে অবস্থান করা হয়েছে৷ এগুলি সাধারণত রূপালী বা সোনার পেইন্ট দিয়ে চিহ্নিত করা হয়। যদি 25 ভোল্টে একটি 3300 uF কেনা সম্ভব হয় তবে এটি আরও ভাল হবে, তবে আমাদের এলাকায় এগুলি বেশ বিরল।
অনুমিতভাবে জাম্পার সম্পর্কে কয়েকটি শব্দ - এগুলি জাম্পার যা ট্র্যাকগুলিকে নিজেদের সাথে সংযুক্ত করে। এটি একটি কারণে করা হয়েছিল - বোর্ডে তামার বেধ সীমিত, এবং কন্ডাক্টরের মধ্য দিয়ে প্রবাহিত কারেন্ট বেশ বড়, এবং কন্ডাক্টরের ক্ষতি পূরণের জন্য, ট্র্যাকটি হয় আক্ষরিকভাবে সোল্ডার দিয়ে সেড করতে হবে, এবং এই দিনগুলিতে এটি বেশ ব্যয়বহুল, বা বর্তমান-বহনকারী কন্ডাক্টরের সাথে সদৃশ, যার ফলে কন্ডাকটরের মোট ক্রস-সেকশন বৃদ্ধি পায়। এই জাম্পারগুলি কমপক্ষে আড়াই স্কোয়ারের ক্রস-সেকশন সহ একক-কোর তামার তার দিয়ে তৈরি, আদর্শভাবে, অবশ্যই, ঘন - চার বা ছয়টি বর্গক্ষেত্র।
সেকেন্ডারি পাওয়ার ডায়োড ব্রিজ। ডায়াগ্রামটি TO-247 প্যাকেজে ডায়োডগুলি দেখায়, TO-220 প্যাকেজে ডায়োড ব্যবহারের জন্য বোর্ড প্রস্তুত করা হয়েছে। ডায়োডের ধরন সরাসরি লোডের পরিকল্পিত বর্তমানের উপর নির্ভর করে এবং অবশ্যই দ্রুত ডায়োডগুলি বেছে নেওয়া ভাল - কম স্ব-গরম হবে।
এখন ঘুর অংশ সম্পর্কে কয়েকটি শব্দ।
সার্কিটের সবচেয়ে সন্দেহজনক জিনিস হল বর্তমান ট্রান্সফরমার - প্রাথমিক উইন্ডিং এর পুরু তারের সাথে এটি মনে হয় যে এটি অর্ধেক বাঁক এবং এমনকি বিভিন্ন দিক দিয়ে বাতাস করা কঠিন হবে। আসলে, এটি ঘুর অংশগুলির সবচেয়ে সহজ উপাদান। একটি বর্তমান ট্রান্সফরমার তৈরি করতে, একটি টেলিভিশন পাওয়ার সাপ্লাই ফিল্টার ব্যবহার করা হয়; যদি হঠাৎ করে এটি খুঁজে পাওয়া সম্ভব না হয়, তাহলে আপনি যেকোনও ডাব্লু-আকৃতির ফেরাইট কোর ব্যবহার করতে পারেন, উদাহরণস্বরূপ, একটি কম্পিউটার পাওয়ার সাপ্লাই থেকে একটি শেনিং ট্রান্সফরমার। কোরটি 110-120 ডিগ্রি পর্যন্ত দশ থেকে বিশ মিনিটের জন্য উষ্ণ হয় এবং তারপরে ফাটল ধরে। উইন্ডিংগুলি সরানো হয়, ফ্রেমের উপর একটি সেকেন্ডারি উইন্ডিং ক্ষত হয়, যার মধ্যে 0.1...0.2 মিমি তারের 80-120 টার্ন থাকে, অবশ্যই দুটিতে ভাঁজ করা হয়। তারপরে একটি ওয়াইন্ডিংয়ের শুরুটি দ্বিতীয়টির শেষের সাথে সংযুক্ত থাকে, তারগুলি আপনার জন্য সুবিধাজনক যে কোনও উপায়ে স্থির করা হয় এবং উইন্ডিং সহ ফ্রেমটি কোরের অর্ধেকের উপর রাখা হয়। তারপর প্রাথমিক ওয়াইন্ডিংয়ের একটি বান্ডিল এক উইন্ডোতে, দ্বিতীয়টি তিনবারে, এবং কোরের দ্বিতীয় অর্ধেকটি লাগানো হয়। এখানেই শেষ! প্রাইমারিতে অর্ধেক টার্নের দুটি উইন্ডিং এবং মাধ্যমিকে 100টি টার্ন। বাঁক সংখ্যা ঠিক উল্লেখ করা হয় না কেন? মোড়ের সংখ্যা এমন হওয়া উচিত যাতে সর্বাধিক স্রোতে রোধ R27 তিন থেকে পাঁচ ভোল্ট উৎপন্ন করে। কিন্তু আমি জানি না আপনি কোন কারেন্টকে সর্বোচ্চ বিবেচনা করবেন, কোন ট্রানজিস্টর ব্যবহার করবেন। আর R27-এ ভোল্টেজের মান সবসময় এই খুব প্রতিরোধকের মান নির্বাচন করে সামঞ্জস্য করা যেতে পারে। প্রধান জিনিস হল যে বর্তমান ট্রান্সফরমারটি সেকেন্ডারি উইন্ডিংয়ে ওভারলোড করা হয়েছে এবং এর জন্য আপনাকে সেকেন্ডারিতে কমপক্ষে 60-70 টার্ন করতে হবে - এই ক্ষেত্রে কোরের সর্বনিম্ন গরম হবে।

একটি উপযুক্ত আকারের টেলিভিশনের জন্য একটি সুইচিং পাওয়ার সাপ্লাইয়ের পাওয়ার ট্রান্সফরমারের মূলে চোক এল 2 ইনস্টল করা হয়েছিল। নীতিগতভাবে, এটি একটি কম্পিউটার পাওয়ার সাপ্লাই থেকে একটি ট্রান্সফরমার থেকে একটি কোরে ক্ষত হতে পারে, তবে আপনাকে 0.5...0.7 মিমি একটি অ-চৌম্বকীয় ফাঁক তৈরি করতে হবে। এটি তৈরি করার জন্য, কোরের অর্ধেক ঢোকানো সহ ফ্রেমের ভিতরে উপযুক্ত ব্যাসের ওয়াইন্ডিং তারের একটি বন্ধ রিং নিক্ষেপ করা যথেষ্ট।
ইনডাক্টরটি পূর্ণ না হওয়া পর্যন্ত ক্ষত হয়, তবে আপনাকে কোন তারটি ব্যবহার করতে হবে তা গণনা করতে হবে। ব্যক্তিগতভাবে, আমি জোতা বা টেপ দিয়ে কাজ করতে পছন্দ করি। টেপ, অবশ্যই, আরো কমপ্যাক্ট, তার সাহায্যে একটি খুব উচ্চ ঘুর ঘনত্ব প্রাপ্ত করা হয়, কিন্তু এর উত্পাদন অনেক সময় লাগে, এবং অবশ্যই আঠালো রাস্তায় মিথ্যা না। একটি বান্ডিল তৈরি করা অনেক সহজ - এটি করার জন্য, কেবল কন্ডাক্টরের আনুমানিক দৈর্ঘ্য খুঁজে বের করুন, তারটি বেশ কয়েকবার ভাঁজ করুন এবং তারপরে এটিকে একটি বান্ডেলে মোচড় দিতে একটি ড্রিল ব্যবহার করুন।
কি ধরনের এবং কত তার ব্যবহার করা উচিত? এটি চূড়ান্ত পণ্যের প্রয়োজনীয়তার উপর নির্ভর করে। এই ক্ষেত্রে, আমরা স্বয়ংচালিত প্রযুক্তি সম্পর্কে কথা বলছি, যার সংজ্ঞা অনুসারে খুব খারাপ শীতল অবস্থা রয়েছে, তাই স্ব-গরমকে অবশ্যই কমিয়ে আনতে হবে এবং এর জন্য কন্ডাক্টরের ক্রস-সেকশনটি গণনা করা প্রয়োজন যেখানে এটি গরম হবে না। অনেক, বা একেবারে না। পরেরটি অবশ্যই পছন্দনীয়, তবে এটি আকারে বৃদ্ধি ঘটায় এবং গাড়িটি একটি ইকারাস নয়, যার অনেক জায়গা রয়েছে। অতএব, আমরা ন্যূনতম গরম থেকে এগিয়ে যাব। অবশ্যই, আপনি ফ্যান ইনস্টল করতে পারেন যাতে তারা জোরপূর্বক অ্যামপ্লিফায়ার এবং কনভার্টার উভয়ের মাধ্যমে বাতাস ফুঁকতে পারে, তবে আমাদের রাস্তার ধুলো ফ্যানদের দ্রুত বেদনাদায়কভাবে মেরে ফেলে, তাই প্রাকৃতিক শীতলতার সাথে নাচ করা এবং তিনটি ভোল্টেজের ভিত্তিতে নেওয়া ভাল। কন্ডাকটর ক্রস-সেকশনের প্রতি বর্গ মিলিমিটার অ্যাম্পিয়ার। এটি একটি মোটামুটি জনপ্রিয় ভোল্টেজ, যা ডাব্লু-আকৃতির লোহা ব্যবহার করে একটি ঐতিহ্যবাহী ট্রান্সফরমার তৈরি করার সময় বিবেচনায় নেওয়ার পরামর্শ দেওয়া হয়। পালস ডিভাইসগুলির জন্য, প্রতি বর্গ মিলিমিটারে পাঁচ থেকে ছয় অ্যাম্পিয়ার ব্যবহার করার পরামর্শ দেওয়া হয়, তবে এটি ভাল বায়ু সংবহন বোঝায় এবং আমাদের কেস বন্ধ, তাই আমরা এখনও তিনটি অ্যাম্পিয়ার গ্রহণ করি।
নিশ্চিত যে তিন ভাল? এবং এখন এর জন্য একটি ভাতা তৈরি করা যাক যে পরিবর্ধক লোড ধ্রুবক নয়, কারণ কেউ একটি বিশুদ্ধ সাইন তরঙ্গ শোনে না, এবং এমনকি ক্লিপিংয়ের কাছাকাছি, তাই গরম করা ক্রমাগত ঘটবে না, যেহেতু পরিবর্ধকের শক্তির কার্যকর মান সর্বাধিক প্রায় 2/3 হয়. অতএব, কোন ঝুঁকি ছাড়াই উত্তেজনা ত্রিশ শতাংশ বৃদ্ধি করা যেতে পারে, অর্থাৎ এটি প্রতি বর্গ মিলিমিটার চার অ্যাম্পিয়ারে আনুন।
আরও একবার, সংখ্যাগুলি আরও ভালভাবে বোঝার জন্য। শীতল অবস্থা জঘন্য, তারটি উচ্চ স্রোত থেকে উত্তপ্ত হতে শুরু করে যদি এটি খুব পাতলা হয়, এবং যদি এটি এখনও একটি কুণ্ডলীতে ক্ষত হয় তবে এটি নিজেই উত্তপ্ত হয়। সমস্যা সমাধানের জন্য, আমরা তারের ক্রস-সেকশনের প্রতি বর্গ মিলিমিটারে আড়াই থেকে তিন অ্যাম্পিয়ারে ভোল্টেজ সেট করি, যদি লোডটি স্থির থাকে, যদি আমরা একটি পাওয়ার অ্যামপ্লিফায়ারকে শক্তি দেই, তাহলে ভোল্টেজটি চার থেকে সাড়ে চার পর্যন্ত বৃদ্ধি করি। কন্ডাকটর ক্রস-সেকশনের প্রতি বর্গ মিলিমিটার অ্যাম্পিয়ার।
এখন আমরা এক্সেল চালু করি, আমি আশা করি প্রত্যেকের কাছেই এমন একটি ক্যালকুলেটর আছে, এবং উপরের লাইনে আমরা ক্রমানুসারে লিখি: "ভোল্টেজ", তারপর "তারের ব্যাস", তারপরে "তারের সংখ্যা", তারপরে "সর্বোচ্চ বর্তমান" এবং শেষ কক্ষে "শক্তি"। আমরা পরের লাইনের শুরুতে যাই এবং আপাতত তিন নম্বর লিখি, আপাতত প্রতি বর্গ মিলিমিটারে তিন অ্যাম্পিয়ার হতে দিন। পরের ঘরে আমরা এক নম্বর লিখি, আপাতত এটি এক মিলিমিটার ব্যাস সহ একটি তার হতে দিন। পরবর্তী ঘরে আমরা দশটি লিখব, এটি জোতাতে তারের সংখ্যা হবে।
কিন্তু তারপরে এমন কোষ রয়েছে যেখানে সূত্র থাকবে। প্রথমত, এর ক্রস বিভাগটি গণনা করা যাক। এটি করার জন্য, ব্যাসকে 2 দ্বারা ভাগ করুন - আমাদের একটি ব্যাসার্ধ প্রয়োজন। তারপরে আমরা ব্যাসার্ধকে ব্যাসার্ধ দ্বারা গুণ করি, ঠিক সেই ক্ষেত্রে, যাতে আমাদের ক্যালকুলেটর নিস্তেজ না হয়ে যায়, আমরা বন্ধনীতে ব্যাসার্ধের গণনা করি এবং এই সমস্তকে পাই সংখ্যা দ্বারা গুণ করি। ফলস্বরূপ, আমরা পাই এর স্কোয়ার পাই, অর্থাৎ বৃত্তের ক্ষেত্রফল, যা কন্ডাকটরের ক্রস-সেকশন। তারপর, ঘর সম্পাদনা না রেখে, আমরা ফলাফলটিকে আমাদের তারের ব্যাস দ্বারা গুণ করি এবং তারের সংখ্যা দ্বারা গুণ করি। ENTER টিপুন এবং একগুচ্ছ দশমিক স্থান সহ একটি সংখ্যা দেখুন। এত বড় নির্ভুলতার প্রয়োজন নেই, তাই আমরা আমাদের ফলাফলকে একটি দশমিক স্থানে এবং উপরের দিকে বৃত্তাকার করি, যাতে একটি ছোট প্রযুক্তিগত মার্জিন থাকে। এটি করার জন্য, সেল এডিট করতে যান, আমাদের সূত্র নির্বাচন করুন এবং কন্ট্রোল এক্স - কাট টিপুন, তারপর ফর্মুলা বোতাম টিপুন এবং ম্যাথ লাইনে রাউন্ড আপ নির্বাচন করুন। একটি ডায়ালগ বক্স উপস্থিত হয় যা জিজ্ঞাসা করে কোনটি বৃত্তাকার এবং কত সংখ্যায়। উপরের উইন্ডোতে কার্সারটি রাখুন এবং নিয়ন্ত্রণ VE পূর্বে কাটা সূত্রটি সন্নিবেশ করুন এবং নীচের উইন্ডোতে আমরা একটি রাখি, যেমন এক দশমিক স্থানে রাউন্ড করুন এবং ঠিক আছে ক্লিক করুন। এখন ঘরে দশমিক বিন্দুর পরে একটি সংখ্যা সহ একটি সংখ্যা রয়েছে।
যা অবশিষ্ট থাকে তা হল শেষ কক্ষে সূত্রটি সন্নিবেশ করা, ভাল, এখানে সবকিছু সহজ - ওহমের সূত্র। আমাদের কাছে সর্বাধিক কারেন্ট রয়েছে যা আমরা ব্যবহার করতে পারি এবং অন-বোর্ড ভোল্টেজকে বারো ভোল্ট হতে দিন, যদিও গাড়িটি যখন চলছে তখন এটি প্রায় তেরো ভোল্ট, তবে এটি সংযোগকারী তারের ড্রপকে বিবেচনা করে না। আমরা ফলস্বরূপ কারেন্টকে 12 দ্বারা গুণ করি এবং সর্বাধিক গণনা করা শক্তি পাই যা কন্ডাকটরকে সামান্য গরম করবে, বা বরং এক মিলিমিটার ব্যাস সহ দশটি তারের সমন্বয়ে একটি বান্ডিল তৈরি করবে।
আমি প্রশ্নগুলির উত্তর দেব না "আমার কাছে এমন একটি বোতাম নেই, আমার কাছে একটি সম্পাদনা লাইন নেই";

আমাদের নৈপুণ্যে ফিরে আসা যাক। আমরা জোতা মধ্যে তারের ব্যাস এবং তাদের সংখ্যা বের করে. ট্রান্সফরমার উইন্ডিংগুলিতে প্রয়োজনীয় জোতা নির্ধারণ করার সময় একই গণনা ব্যবহার করা যেতে পারে, তবে ভোল্টেজ প্রতি বর্গ মিলিমিটারে পাঁচ থেকে ছয় অ্যাম্পিয়ারে বাড়ানো যেতে পারে - একটি অর্ধ-উইন্ডিং সময়ের পঞ্চাশ শতাংশ কাজ করে, তাই এটি ঠান্ডা হওয়ার সময় পাবে। আপনি উইন্ডিংয়ে ভোল্টেজকে সাত থেকে আট অ্যাম্পিয়ারে বাড়িয়ে দিতে পারেন, তবে এখানে জোতাটির সক্রিয় প্রতিরোধের উপর ভোল্টেজ ড্রপ ইতিমধ্যেই প্রভাবিত হতে শুরু করবে এবং আমাদের এখনও ভাল দক্ষতা অর্জনের ইচ্ছা আছে বলে মনে হচ্ছে, তাই এটি না করাই ভাল। .
যদি বেশ কয়েকটি পাওয়ার ট্রানজিস্টর থাকে, তবে আপনাকে অবশ্যই অবিলম্বে বিবেচনা করতে হবে যে জোতাটিতে তারের সংখ্যাটি ট্রানজিস্টরের সংখ্যার একাধিক হতে হবে - জোতাটিকে পাওয়ার ট্রানজিস্টরের সংখ্যা দ্বারা ভাগ করতে হবে এবং এটি খুব কাম্য। উইন্ডিং এর মাধ্যমে প্রবাহিত স্রোতের একটি অভিন্ন বন্টন আছে।
ওয়েল, আমরা গণনা সাজানো আছে বলে মনে হচ্ছে, আমরা ঘুর শুরু করতে পারেন. যদি এটি একটি গার্হস্থ্য রিং হয়, তবে এটি অবশ্যই প্রস্তুত থাকতে হবে, যথা, ধারালো কোণগুলি অবশ্যই গ্রাউন্ড করা উচিত যাতে উইন্ডিং তারের নিরোধক ক্ষতি না হয়। তারপরে রিংটি একটি পাতলা অন্তরক দিয়ে উত্তাপিত হয় - এই উদ্দেশ্যে বৈদ্যুতিক টেপ ব্যবহার করা যুক্তিযুক্ত নয়। ভিনাইল তাপমাত্রার উপর নির্ভর করে ফুটো হবে, তবে ফ্যাব্রিক খুব পুরু। আদর্শভাবে, ফ্লুরোপ্লাস্টিক টেপ, তবে আপনি এটি প্রায়শই বিক্রিতে দেখতে পান না। থার্মোস্কচ একটি খারাপ উপাদান নয়, তবে এটি বাতাস করা খুব সুবিধাজনক নয়, যদিও আপনি যদি এটি আটকে রাখেন তবে ফলাফলটি বেশ ভাল হবে। এক সময় আমি গাড়ির বিরোধী নুড়ি ব্যবহার করতাম - আমি এটিকে কেবল একটি ব্রাশ দিয়ে আঁকতাম, এটি শুকাতে দিন, আবার আঁকা, এবং তাই তিনটি স্তরের জন্য। যান্ত্রিক বৈশিষ্ট্যগুলি খারাপ নয়, এবং এই নিরোধকের একটি ছোট ভাঙ্গন ভোল্টেজ অপারেশনকে প্রভাবিত করবে না - আমাদের ক্ষেত্রে, সমস্ত ভোল্টেজ বড় নয়। সেকেন্ডারি উইন্ডিং প্রথমে ক্ষত হয়, যেহেতু এটি পাতলা এবং আরও বাঁক রয়েছে। তারপর প্রাথমিক উইন্ডিং ক্ষত হয়। উভয় windings দুই ভাঁজ বান্ডিল মধ্যে একবারে ক্ষত হয় - তাই এটি বাঁক সংখ্যা সঙ্গে একটি ভুল করা খুব কঠিন, যা একই হওয়া উচিত। জোতা বলা হয় এবং প্রয়োজনীয় ক্রম সংযুক্ত করা হয়.

আপনি যদি কল করতে খুব অলস হন, বা আপনার কাছে পর্যাপ্ত সময় না থাকে, তবে ঘুরানোর আগে স্ট্র্যান্ডগুলি বিভিন্ন রঙে আঁকা যেতে পারে। আপনি বিভিন্ন রঙের এক জোড়া স্থায়ী মার্কার কিনুন, তাদের পেইন্ট পাত্রের বিষয়বস্তু আক্ষরিক অর্থে দ্রাবক দিয়ে ধুয়ে ফেলা হয় এবং তারপরে কুঁচকানোর পরে অবিলম্বে স্ট্র্যান্ডগুলি এই পেইন্ট দিয়ে আচ্ছাদিত হয়। পেইন্টটি খুব শক্তভাবে আটকে থাকে না, তবে জোতাটির বাইরের তারগুলি থেকে এটি মুছে ফেলা হলেও, জোতার ভিতরের পেইন্টটি এখনও দৃশ্যমান।
বোর্ডে কয়েলের অংশগুলিকে সুরক্ষিত করার বেশ কয়েকটি উপায় রয়েছে এবং এটি কেবল কয়েলের অংশগুলির সাথেই করা উচিত নয় - উচ্চ ইলেক্ট্রোলাইটগুলি ক্রমাগত ঝাঁকুনির কারণে তাদের পা হারাতে পারে। তাই এটা সব একসাথে লাঠি. আপনি পলিউরেথেন আঠালো ব্যবহার করতে পারেন, আপনি গাড়ির সিল ব্যবহার করতে পারেন, বা আপনি একই অ্যান্টি-নুড়ি ব্যবহার করতে পারেন। পরেরটির সৌন্দর্য হল যে আপনার যদি কিছু ভেঙে ফেলার প্রয়োজন হয় তবে আপনি এটিকে চূর্ণ করতে পারেন - এটিতে দ্রাবক 647 এ একটি রাগ ভারীভাবে ভিজিয়ে রাখুন, এটি একটি প্লাস্টিকের ব্যাগে রাখুন এবং পাঁচ থেকে ছয় ঘন্টা অপেক্ষা করুন। অ্যান্টি-নুড়ি দ্রাবক বাষ্প থেকে নরম হয় এবং অপসারণ করা তুলনামূলকভাবে সহজ।
এটি সবই স্বয়ংচালিত রূপান্তরকারীদের জন্য, আসুন নেটওয়ার্ক রূপান্তরকারীদের দিকে এগিয়ে যাই।
যাদের চতুর হওয়ার অতৃপ্ত ইচ্ছা আছে, তারা বলে, কিন্তু কিছু জড়ো হয়নি, আমি এখনই উত্তর দেব - আমি আসলে আমার অভিজ্ঞতা শেয়ার করছি, এবং বড়াই করছি না যে আমি অনুমিতভাবে একটি রূপান্তরকারী একত্রিত করেছি এবং এটি কাজ করে। ফ্রেমে যা ফ্ল্যাশ হয়েছিল তা হয় অসফল বিকল্প যা চূড়ান্ত পরিমাপ পাস করেনি, বা প্রোটোটাইপগুলি ভেঙে দেওয়া হয়েছিল। আমি অর্ডার করার জন্য পৃথক ডিভাইস তৈরিতে নিযুক্ত নই, এবং যদি আমি করি, তবে সর্বপ্রথম, সার্কিট ডিজাইন বা উপাদান থেকে এটি ব্যক্তিগতভাবে আমার জন্য আগ্রহী হওয়া উচিত, তবে এখানে আমাকে খুব আগ্রহের বিষয় হতে হবে।

সাধারণ চেক।

প্রথমে আপনাকে পাওয়ার সাপ্লাইয়ের সার্কিট ডায়াগ্রামের সাথে নিজেকে পরিচিত করতে হবে যদি এটি ফিট না হয় তবে সবচেয়ে উপযুক্তটি বেছে নিন। পাওয়ার সাপ্লাই বিচ্ছিন্ন করার পরে, শর্ট সার্কিটের জন্য কী ট্রানজিস্টরগুলি পরীক্ষা করুন (সাধারণত BUT11A), ওপেন সার্কিটের জন্য বেসে 1..3 ওহম প্রতিরোধক, শর্ট সার্কিট/ওপেন সার্কিটের জন্য ব্রিজ, শর্ট সার্কিট/ওপেন সার্কিটের জন্য প্রি-আউটপুট ট্রানজিস্টর, ভাঙ্গনের জন্য সেকেন্ডারি সার্কিটে ডায়োড। ত্রুটিপূর্ণ যন্ত্রাংশ প্রতিস্থাপন করার পরে, TL494 PWM চিপের সেবাযোগ্যতা পরীক্ষা করুন যদি এটি ত্রুটিপূর্ণ হয় তবে এটি প্রতিস্থাপন করুন। চেক করার জন্য নেটওয়ার্কের সাথে সংযোগ করার সময়, একটি ফিউজের পরিবর্তে একটি ভাস্বর বাতি 100W 220V চালু করা প্রয়োজন (তারপরে শর্ট সার্কিটের ক্ষেত্রে ভাস্বর বাতিটি উজ্জ্বলআলো জ্বলবে, কিন্তু যদি এটি দুর্বলভাবে জ্বলে, তাহলে কোন শর্ট সার্কিট নেই এবং পরবর্তী সুইচিং একটি ফিউজ দিয়ে করা যেতে পারে), এবং +5V আউটপুট সার্কিটে একটি 2...5 ওহম 20 ওয়াট লোড প্রতিরোধক যোগ করা হয়। চেহারা সহ সবকিছু অক্ষত থাকলে, পাওয়ার সাপ্লাই চালু করুন এবং ট্রানজিস্টর Q1 এর কালেক্টরে +300 ভোল্টের উপস্থিতি পরীক্ষা করুন।

বিকিরণকারীর উপর অসিলোগ্রাম Q1

TL494 মাইক্রোসার্কিট এবং এর অ্যানালগগুলি পরীক্ষা করা হচ্ছে। (M1114EU4, mpC494C, IR3M02)।

মাইক্রোসার্কিটের কার্যকারিতা পাওয়ার সাপ্লাই বন্ধ করে চেক করা হয় এবং 7 তম পিনে 12 তম পিনে প্রয়োগ করা +9V...15V একটি ভোল্টেজ সহ একটি বাহ্যিক শক্তি উৎস থেকে চালিত IC। 7 তম পিনের সাথে সম্পর্কিত সমস্ত পরিমাপও করা হয়। তদতিরিক্ত, তারগুলিকে সোল্ডারিং করে আইসি এর সাথে সংযোগ করা ভাল, এবং "কুমির" ব্যবহার না করা এটি যোগাযোগের নির্ভরযোগ্যতা নিশ্চিত করবে এবং মিথ্যা যোগাযোগের সম্ভাবনা দূর করবে।

1. বাহ্যিক ভোল্টেজ প্রয়োগ করার সময়, আমরা 14 তম পিনে ভোল্টেজটি অসিলোস্কোপ করি, এটি +5V (+/-5%) হওয়া উচিত এবং 12 তম পিনে ভোল্টেজ +9V থেকে +15V এ পরিবর্তিত হলে স্থিতিশীল থাকা উচিত। যদি এটি না ঘটে, তাহলে অভ্যন্তরীণ ভোল্টেজ নিয়ন্ত্রক DA5 ব্যর্থ হয়েছে।

2) একটি ভোল্টমিটার নিন এবং 14 পিনে +5 ভোল্টের ভোল্টেজ পরীক্ষা করুন যদি এই ভোল্টেজটি উপস্থিত না থাকে বা এটি 5 ভোল্টের থেকে উল্লেখযোগ্যভাবে আলাদা হয়, তাহলে মাইক্রোসার্কিটটি ত্রুটিপূর্ণ বলে বিবেচিত হতে পারে!

3) একটি অসিলোস্কোপ নিন এবং মাইক্রোসার্কিটের 5 নং পিনে করাতের উপস্থিতি পরীক্ষা করুন (অসিলোস্কোপ দেখুন)। যদি এই কাঠবাদাম ডালগুলি উপস্থিত না থাকে বা সেগুলি অন্যরকম দেখায়,

পিন 5 এ অসিলোগ্রাম

তারপরে আপনাকে C14, R31 উপাদানগুলি পরীক্ষা করতে হবে। এই উপাদানগুলির পরিষেবাযোগ্যতা নিজেই মাইক্রোসার্কিটের একটি ত্রুটি নির্দেশ করে। এটা প্রতিস্থাপন করা উচিত!

4) তারপরে আমরা একই মাইক্রোসার্কিটের 8 এবং 11 পিনে আউটপুট সিগন্যালের উপস্থিতি পরীক্ষা করি (অসিলেটর দেখুন)

পিন 8 এর তরঙ্গরূপ

আউটপুট 11 এর অসিলোগ্রাম

যদি এই সংকেতগুলি উপস্থিত না থাকে, তাহলে মাইক্রোসার্কিট ত্রুটিপূর্ণ!

যদি এই সমস্ত পরীক্ষা ইতিবাচক হয়, তাহলে মাইক্রোসার্কিটকে সেবাযোগ্য বলে মনে করা যেতে পারে!

আধুনিক বিশ্বে, ব্যক্তিগত কম্পিউটারের উপাদানগুলির বিকাশ এবং অপ্রচলিততা খুব দ্রুত ঘটে। একই সময়ে, একটি পিসির প্রধান উপাদানগুলির মধ্যে একটি - এটিএক্স ফর্ম ফ্যাক্টর - কার্যত গত 15 বছর ধরে এর নকশা পরিবর্তন করেনি.

ফলস্বরূপ, একটি অতি-আধুনিক গেমিং কম্পিউটার এবং একটি পুরানো অফিস পিসি উভয়ের পাওয়ার সাপ্লাই একই নীতিতে কাজ করে এবং ত্রুটিগুলি নির্ণয়ের জন্য সাধারণ পদ্ধতি রয়েছে।

এই নিবন্ধে উপস্থাপিত উপাদান ন্যূনতম সূক্ষ্মতার সাথে যেকোনো ব্যক্তিগত কম্পিউটার পাওয়ার সাপ্লাইতে প্রয়োগ করা যেতে পারে।

একটি সাধারণ ATX পাওয়ার সাপ্লাই সার্কিট চিত্রে দেখানো হয়েছে। কাঠামোগতভাবে, এটি একটি TL494 PWM কন্ট্রোলারের একটি ক্লাসিক পালস ইউনিট, যা মাদারবোর্ড থেকে একটি PS-ON (পাওয়ার সুইচ অন) সংকেত দ্বারা ট্রিগার হয়। বাকি সময়, PS-ON পিন মাটিতে টানা না হওয়া পর্যন্ত, শুধুমাত্র আউটপুটে +5 V ভোল্টেজ সহ স্ট্যান্ডবাই সাপ্লাই সক্রিয় থাকে।

আসুন ATX পাওয়ার সাপ্লাইয়ের কাঠামোটি ঘনিষ্ঠভাবে দেখি। এর প্রথম উপাদান হল
:

এর কাজ হল PWM কন্ট্রোলার এবং স্ট্যান্ডবাই পাওয়ার সাপ্লাইকে পাওয়ার জন্য মেইন থেকে প্রত্যক্ষ কারেন্টে পরিবর্তন করা। কাঠামোগতভাবে, এটি নিম্নলিখিত উপাদানগুলি নিয়ে গঠিত:

  • ফিউজ F1বিদ্যুত সরবরাহ ব্যর্থতার ক্ষেত্রে ওয়্যারিং এবং পাওয়ার সাপ্লাইকে ওভারলোড থেকে রক্ষা করে, যার ফলে বর্তমান খরচের তীব্র বৃদ্ধি ঘটে এবং ফলস্বরূপ, তাপমাত্রার একটি গুরুতর বৃদ্ধি যা আগুনের কারণ হতে পারে।
  • নিরপেক্ষ সার্কিটে একটি প্রতিরক্ষামূলক থার্মিস্টার ইনস্টল করা হয়, যা নেটওয়ার্কের সাথে পাওয়ার সাপ্লাই সংযুক্ত হলে বর্তমান ঢেউ কমায়।
  • এরপরে, একটি শব্দ ফিল্টার ইনস্টল করা হয়েছে, এতে বেশ কয়েকটি চোক রয়েছে ( L1, L2), ক্যাপাসিটার ( C1, C2, C3, C4) এবং পাল্টা ক্ষত শ্বাসরোধ Tr1. এই জাতীয় ফিল্টারের প্রয়োজনীয়তা উল্লেখযোগ্য স্তরের হস্তক্ষেপের কারণে যা পালস ইউনিট পাওয়ার সাপ্লাই নেটওয়ার্কে প্রেরণ করে - এই হস্তক্ষেপটি কেবল টেলিভিশন এবং রেডিও রিসিভার দ্বারা নেওয়া হয় না, তবে কিছু ক্ষেত্রে সংবেদনশীল সরঞ্জামগুলির ত্রুটির কারণ হতে পারে। .
  • ফিল্টারের পিছনে একটি ডায়োড ব্রিজ ইনস্টল করা হয়, যা অল্টারনেটিং কারেন্টকে ডাইরেক্ট কারেন্টে রূপান্তর করে। একটি ক্যাপাসিটিভ-ইন্ডাকটিভ ফিল্টার দ্বারা লহরকে মসৃণ করা হয়।

স্ট্যান্ডবাই পাওয়ার সাপ্লাই T11 ট্রানজিস্টরের উপর ভিত্তি করে একটি কম-পাওয়ার স্বাধীন পালস কনভার্টার, যা D24 ডায়োডে একটি আইসোলেশন ট্রান্সফরমার এবং একটি হাফ-ওয়েভ রেকটিফায়ারের মাধ্যমে স্পন্দন তৈরি করে, 7805 চিপে একটি কম-পাওয়ার ইন্টিগ্রেটেড ভোল্টেজ স্টেবিলাইজারকে পাওয়ার করে। যেমন তারা বলে, সময়-পরীক্ষিত, এর উল্লেখযোগ্য ত্রুটি হল 7805 স্টেবিলাইজার জুড়ে উচ্চ ভোল্টেজ ড্রপ, যা ভারী লোডের অধীনে অতিরিক্ত গরমের দিকে পরিচালিত করে। এই কারণে, স্ট্যান্ডবাই উত্স থেকে চালিত সার্কিটগুলির ক্ষতির ফলে এটির ব্যর্থতা এবং পরবর্তীতে কম্পিউটার চালু করতে অক্ষমতা হতে পারে।

পালস কনভার্টারের ভিত্তি হল PWM কন্ট্রোলার. এই সংক্ষেপণটি ইতিমধ্যে বেশ কয়েকবার উল্লেখ করা হয়েছে, কিন্তু পাঠোদ্ধার করা হয়নি। PWM হল পালস প্রস্থ মড্যুলেশন, অর্থাৎ, তাদের ধ্রুবক প্রশস্ততা এবং ফ্রিকোয়েন্সিতে ভোল্টেজ ডালের সময়কাল পরিবর্তন করা। PWM ইউনিটের কাজ, একটি বিশেষ TL494 মাইক্রোসার্কিট বা এর কার্যকরী অ্যানালগগুলির উপর ভিত্তি করে, ডিসি ভোল্টেজকে উপযুক্ত ফ্রিকোয়েন্সির ডালে রূপান্তর করা, যা একটি বিচ্ছিন্ন ট্রান্সফরমারের পরে, আউটপুট ফিল্টার দ্বারা মসৃণ করা হয়। পালস কনভার্টারের আউটপুটে ভোল্টেজ স্থিতিশীলতা PWM কন্ট্রোলার দ্বারা উত্পন্ন ডালের সময়কাল সামঞ্জস্য করে বাহিত হয়।

এই ধরনের একটি ভোল্টেজ রূপান্তর সার্কিটের একটি গুরুত্বপূর্ণ সুবিধা হল বিদ্যুৎ সরবরাহের 50 Hz এর চেয়ে উল্লেখযোগ্যভাবে বেশি ফ্রিকোয়েন্সিগুলির সাথে কাজ করার ক্ষমতা। কারেন্টের ফ্রিকোয়েন্সি যত বেশি হবে, ট্রান্সফরমার কোরের মাত্রা তত কম হবে এবং উইন্ডিংগুলির বাঁকগুলির সংখ্যা প্রয়োজন হবে। এ কারণেই ইনপুট স্টেপ-ডাউন ট্রান্সফরমার সহ শাস্ত্রীয় সার্কিটের তুলনায় সুইচিং পাওয়ার সাপ্লাই অনেক বেশি কমপ্যাক্ট এবং হালকা।

ট্রানজিস্টর T9 এর উপর ভিত্তি করে একটি সার্কিট এবং এটির পরবর্তী ধাপগুলি ATX পাওয়ার সাপ্লাই চালু করার জন্য দায়ী। নেটওয়ার্কে পাওয়ার সাপ্লাই চালু হওয়ার মুহুর্তে, PS-ON ওয়্যারটি ছোট হয়ে যাওয়ার মুহূর্তে স্ট্যান্ডবাই পাওয়ার সাপ্লাইয়ের আউটপুট থেকে বর্তমান-সীমাবদ্ধ প্রতিরোধক R58 এর মাধ্যমে ট্রানজিস্টরের বেসে একটি 5V ভোল্টেজ সরবরাহ করা হয়; মাটিতে, সার্কিটটি PWM কন্ট্রোলার TL494 শুরু করে। এই ক্ষেত্রে, স্ট্যান্ডবাই পাওয়ার উত্সের ব্যর্থতা পাওয়ার সাপ্লাই স্টার্টিং সার্কিটের অপারেশনে অনিশ্চয়তা এবং একটি সম্ভাব্য সুইচিং ব্যর্থতার দিকে নিয়ে যাবে, যেমনটি ইতিমধ্যে উল্লেখ করা হয়েছে।

পালস জেনারেটর ইলেকট্রনিক ডিভাইসের উন্নয়ন এবং সমন্বয় পরীক্ষাগার গবেষণার জন্য ব্যবহৃত হয়। জেনারেটরটি 7 থেকে 41 ভোল্টের ভোল্টেজ পরিসরে কাজ করে এবং আউটপুট ট্রানজিস্টরের উপর নির্ভর করে উচ্চ লোড ক্ষমতা রয়েছে। আউটপুট ডালের প্রশস্ততা মাইক্রোসার্কিটের সরবরাহ ভোল্টেজের মানের সমান হতে পারে, এই মাইক্রোসার্কিট +41 V এর সরবরাহ ভোল্টেজের সীমিত মান পর্যন্ত। এর ভিত্তি সবার কাছে পরিচিত এবং প্রায়শই ব্যবহৃত হয়।


এনালগ TL494 মাইক্রোসার্কিট হয় KA7500 এবং এর ঘরোয়া ক্লোন - KR1114EU4 .

পরামিতি সীমা মান:

সরবরাহ ভোল্টেজ 41V
এমপ্লিফায়ার ইনপুট ভোল্টেজ (Vcc+0.3)V
কালেক্টর আউটপুট ভোল্টেজ 41V
কালেক্টর আউটপুট বর্তমান 250mA
ক্রমাগত মোডে মোট শক্তি অপচয় 1W
অপারেটিং পরিবেষ্টিত তাপমাত্রা পরিসীমা:
-c প্রত্যয় L -25..85С
-প্রত্যয় সহ С.0..70С
স্টোরেজ তাপমাত্রা পরিসীমা -65…+150С

ডিভাইসের পরিকল্পিত চিত্র



স্কয়ার পালস জেনারেটর সার্কিট

জেনারেটর প্রিন্টেড সার্কিট বোর্ড TL494 এবং অন্যান্য ফাইল একটি পৃথক এক.


ফ্রিকোয়েন্সি সামঞ্জস্য সুইচ S2 (মোটামুটি) এবং রোধ RV1 (মসৃণভাবে) দ্বারা বাহিত হয়, ডিউটি ​​চক্র রোধ RV2 দ্বারা সমন্বয় করা হয়। স্যুইচ SA1 জেনারেটর অপারেটিং মোডগুলিকে ইন-ফেজ (একক-চক্র) থেকে অ্যান্টি-ফেজ (দুই-চক্র) এ পরিবর্তন করে। প্রতিরোধক R3 কভার করার জন্য সবচেয়ে অনুকূল ফ্রিকোয়েন্সি পরিসীমা নির্বাচন করে;


পালস জেনারেটরের অংশ

টাইমিং সার্কিটের ক্যাপাসিটার C1-C4 প্রয়োজনীয় ফ্রিকোয়েন্সি সীমার জন্য নির্বাচিত হয় এবং তাদের ক্ষমতা 10 মাইক্রোফ্যারাড হতে পারে ইনফ্রা-লো সাবরেঞ্জের জন্য 1000 পিকোফ্যারাড পর্যন্ত সর্বোচ্চ ফ্রিকোয়েন্সির জন্য।

200 mA এর গড় বর্তমান সীমা সহ, সার্কিটটি মোটামুটি দ্রুত গেট চার্জ করতে সক্ষম, কিন্তু
ট্রানজিস্টর বন্ধ থাকলে এটি ডিসচার্জ করা অসম্ভব। গ্রাউন্ডেড রেসিস্টর ব্যবহার করে গেট ডিসচার্জ করাও অসন্তোষজনকভাবে ধীর। এই উদ্দেশ্যে, একটি স্বাধীন পরিপূরক পুনরাবৃত্তিকারী ব্যবহার করা হয়।


  • পড়ুন: "একটি কম্পিউটার থেকে এটি কীভাবে তৈরি করবেন।"
কম স্যাচুরেশন ভোল্টেজ এবং পর্যাপ্ত কারেন্ট রিজার্ভ সহ যেকোনো HF এ ট্রানজিস্টর নির্বাচন করা হয়। যেমন KT972+973। শক্তিশালী আউটপুটগুলির প্রয়োজন না থাকলে, পরিপূরক পুনরাবৃত্তিকারী বাদ দেওয়া যেতে পারে। 20 kOm এর দ্বিতীয় নির্মাণ প্রতিরোধকের অনুপস্থিতিতে, 10 kOm এর দুটি ধ্রুবক প্রতিরোধক ব্যবহার করা হয়েছিল, যা 50% এর মধ্যে একটি শুল্ক চক্র প্রদান করে। প্রকল্পের লেখক আলেকজান্ডার তেরেন্তিয়েভ।

সাধারণ বর্ণনা এবং ব্যবহার

টিএল 494এবং এর পরবর্তী সংস্করণগুলি পুশ-পুল পাওয়ার কনভার্টার তৈরির জন্য সর্বাধিক ব্যবহৃত মাইক্রোসার্কিট।

  • TL494 (টেক্সাস ইন্সট্রুমেন্টের আসল বিকাশ) - একক-এন্ডেড আউটপুট সহ PWM ভোল্টেজ কনভার্টার IC (TL 494 IN - প্যাকেজ DIP16, -25..85C, TL 494 CN - DIP16, 0..70C)।
  • K1006EU4 - TL494 এর গার্হস্থ্য অ্যানালগ
  • TL594 - ত্রুটি পরিবর্ধক এবং তুলনাকারীর উন্নত নির্ভুলতার সাথে TL494 এর অ্যানালগ
  • TL598 - আউটপুটে পুশ-পুল (pnp-npn) রিপিটার সহ TL594 এর অ্যানালগ

এই উপাদানটি মূল প্রযুক্তিগত নথির বিষয়ে একটি সাধারণীকরণ টেক্সাস ইনস্ট্রুমেন্ট, প্রকাশনা ইন্টারন্যাশনাল রেকটিফায়ার ("পাওয়ার সেমিকন্ডাক্টর ডিভাইস ইন্টারন্যাশনাল রেকটিফায়ার", ভোরোনেজ, 1999) এবং মটোরোলা।

এই মাইক্রোসার্কিটের সুবিধা এবং অসুবিধা:

  • প্লাস: বিকশিত কন্ট্রোল সার্কিট, দুটি ডিফারেনশিয়াল এমপ্লিফায়ার (এছাড়াও যৌক্তিক ফাংশন সম্পাদন করতে পারে)
  • কনস: একক-ফেজ আউটপুট অতিরিক্ত মাউন্টিং প্রয়োজন (UC3825 এর তুলনায়)
  • বিয়োগ: বর্তমান নিয়ন্ত্রণ উপলব্ধ নয়, তুলনামূলকভাবে ধীর প্রতিক্রিয়া লুপ (স্বয়ংচালিত পিএন-এ গুরুত্বপূর্ণ নয়)
  • কনস: দুই বা ততোধিক আইসি-এর সিঙ্ক্রোনাস সংযোগ UC3825 এর মতো সুবিধাজনক নয়

1. TL494 চিপসের বৈশিষ্ট্য

ION এবং undervoltage সুরক্ষা সার্কিট. যখন শক্তি 5.5..7.0 V (সাধারণ মান 6.4V) থ্রেশহোল্ডে পৌঁছায় তখন সার্কিট চালু হয়। এই মুহূর্ত পর্যন্ত, অভ্যন্তরীণ নিয়ন্ত্রণ বাসগুলি জেনারেটর এবং সার্কিটের যৌক্তিক অংশের ক্রিয়াকলাপ নিষিদ্ধ করে। সরবরাহ ভোল্টেজে নো-লোড কারেন্ট +15V (আউটপুট ট্রানজিস্টর অক্ষম) 10 mA-এর বেশি নয়। ION +5V (+4.75..+5.25 V, আউটপুট স্থিতিশীলতা +/- 25mV এর চেয়ে খারাপ নয়) 10 mA পর্যন্ত প্রবাহিত কারেন্ট সরবরাহ করে। ION শুধুমাত্র একটি NPN ইমিটার ফলোয়ার ব্যবহার করে বুস্ট করা যেতে পারে (TI pp. 19-20 দেখুন), কিন্তু এই ধরনের "স্ট্যাবিলাইজার" এর আউটপুটে ভোল্টেজ লোড কারেন্টের উপর নির্ভর করবে।

জেনারেটর TL494 টেক্সাস ইন্সট্রুমেন্টের জন্য টাইমিং ক্যাপাসিটর Ct (পিন 5) এ 0..+3.0V (প্রশস্ততা ION দ্বারা সেট করা হয়) এবং TL494 মটোরোলার জন্য 0 ...2.8V এর একটি sawtooth ভোল্টেজ তৈরি করে (আমরা কী করতে পারি অন্যদের কাছ থেকে আশা করেন?), যথাক্রমে, TI F =1.0/(RtCt), Motorola F=1.1/(RtCt) এর জন্য।

প্রস্তাবিত রেঞ্জ Rt = 1...500 kOhm, Ct = 470pF...10 μF সহ 1 থেকে 300 kHz পর্যন্ত অপারেটিং ফ্রিকোয়েন্সি গ্রহণযোগ্য। এই ক্ষেত্রে, ফ্রিকোয়েন্সির সাধারণ তাপমাত্রা ড্রিফ্ট (অবশ্যই, সংযুক্ত উপাদানগুলির প্রবাহকে বিবেচনায় না নিয়ে) +/-3%, এবং সরবরাহ ভোল্টেজের উপর নির্ভর করে ফ্রিকোয়েন্সি ড্রিফ্ট সম্পূর্ণ অনুমোদিত পরিসরের 0.1% এর মধ্যে।

জেনারেটরটি দূরবর্তীভাবে বন্ধ করতে, আপনি ION এর আউটপুটে ইনপুট Rt (6) বা শর্ট-সার্কিট Ct গ্রাউন্ডে শর্ট-সার্কিট করতে একটি বাহ্যিক কী ব্যবহার করতে পারেন। অবশ্যই, Rt, Ct নির্বাচন করার সময় খোলা সুইচের ফুটো প্রতিরোধের বিষয়টি অবশ্যই বিবেচনায় নেওয়া উচিত।

বিশ্রাম ফেজ নিয়ন্ত্রণ ইনপুট (শুল্ক চক্র)বিশ্রাম পর্বের তুলনাকারীর মাধ্যমে, সার্কিটের বাহুতে ডালের মধ্যে প্রয়োজনীয় ন্যূনতম বিরতি সেট করে। এটি আইসি-এর বাইরে পাওয়ার পর্যায়ে কারেন্ট প্রতিরোধ করার জন্য এবং ট্রিগারের স্থিতিশীল অপারেশনের জন্য উভয়ই প্রয়োজনীয় - TL494 এর ডিজিটাল অংশের স্যুইচিং সময় 200 ns। আউটপুট সংকেত সক্রিয় করা হয় যখন করাত কন্ট্রোল ইনপুট 4 (DT) এ Ct দ্বারা ভোল্টেজ অতিক্রম করে। শূন্য নিয়ন্ত্রণ ভোল্টেজ সহ 150 kHz পর্যন্ত ঘড়ির ফ্রিকোয়েন্সিতে, বিশ্রামের পর্যায় = সময়ের 3% (কন্ট্রোল সিগন্যালের সমতুল্য পক্ষপাত 100..120 mV), উচ্চ ফ্রিকোয়েন্সিতে অন্তর্নির্মিত সংশোধন বিশ্রামের পর্যায়কে 200-এ প্রসারিত করে। .300 এনএস

DT ইনপুট সার্কিট ব্যবহার করে, আপনি একটি স্থির বিশ্রাম পর্ব (R-R ডিভাইডার), সফট স্টার্ট মোড (R-C), রিমোট শাটডাউন (কী) সেট করতে পারেন এবং ডিটিকে লিনিয়ার কন্ট্রোল ইনপুট হিসাবে ব্যবহার করতে পারেন। ইনপুট সার্কিট PNP ট্রানজিস্টর ব্যবহার করে একত্রিত হয়, তাই ইনপুট কারেন্ট (1.0 μA পর্যন্ত) IC এর মধ্যে না গিয়ে প্রবাহিত হয়। কারেন্ট বেশ বড়, তাই উচ্চ-প্রতিরোধকারী প্রতিরোধক (100 kOhm এর বেশি নয়) এড়ানো উচিত। একটি TL430 (431) 3-লিড জেনার ডায়োড ব্যবহার করে সার্জ সুরক্ষার উদাহরণের জন্য TI, পৃষ্ঠা 23 দেখুন।

ত্রুটি পরিবর্ধক- প্রকৃতপক্ষে, ধ্রুবক ভোল্টেজে Ku = 70..95 dB সহ কর্মক্ষম পরিবর্ধক (প্রাথমিক সিরিজের জন্য 60 dB), Ku = 1 350 kHz এ। ইনপুট সার্কিটগুলি PNP ট্রানজিস্টর ব্যবহার করে একত্রিত হয়, তাই ইনপুট কারেন্ট (1.0 μA পর্যন্ত) IC এর মধ্যে না গিয়ে প্রবাহিত হয়। অপ-অ্যাম্পের জন্য কারেন্ট বেশ বড়, বায়াস ভোল্টেজও বেশি (10 mV পর্যন্ত), তাই কন্ট্রোল সার্কিটে উচ্চ-প্রতিরোধকারী প্রতিরোধক (100 kOhm-এর বেশি নয়) এড়ানো উচিত। কিন্তু pnp ইনপুট ব্যবহারের জন্য ধন্যবাদ, ইনপুট ভোল্টেজের পরিসীমা -0.3V থেকে Vsupply-2V পর্যন্ত।

দুটি পরিবর্ধকের আউটপুট ডায়োড OR দ্বারা একত্রিত হয়। যে পরিবর্ধকটির আউটপুট ভোল্টেজ বেশি তা যুক্তির নিয়ন্ত্রণ নেয়। এই ক্ষেত্রে, আউটপুট সংকেত আলাদাভাবে পাওয়া যায় না, তবে শুধুমাত্র ডায়োডের আউটপুট থেকে OR (এছাড়াও ত্রুটি তুলনাকারীর ইনপুট)। এইভাবে, লাইন মোডে শুধুমাত্র একটি পরিবর্ধক লুপ করা যেতে পারে। এই পরিবর্ধক আউটপুট ভোল্টেজে প্রধান, লিনিয়ার ফিডব্যাক লুপ বন্ধ করে। এই ক্ষেত্রে, দ্বিতীয় পরিবর্ধক একটি তুলনাকারী হিসাবে ব্যবহার করা যেতে পারে - উদাহরণস্বরূপ, যখন আউটপুট কারেন্ট অতিক্রম করা হয়, বা একটি লজিক্যাল অ্যালার্ম সংকেত (ওভারহিটিং, শর্ট সার্কিট, ইত্যাদি), দূরবর্তী শাটডাউন ইত্যাদির জন্য একটি কী হিসাবে। তুলনাকারী ইনপুটগুলি ION এর সাথে আবদ্ধ থাকে এবং একটি লজিক্যাল সিগন্যাল দ্বিতীয় বা অ্যালার্ম সিগন্যালে সংগঠিত হয় (আরও ভাল - লজিক্যাল এবং স্বাভাবিক অবস্থার সংকেত)।

একটি RC ফ্রিকোয়েন্সি-নির্ভর ওএস ব্যবহার করার সময়, আপনার মনে রাখা উচিত যে অ্যামপ্লিফায়ারগুলির আউটপুট আসলে একক-এন্ডেড (সিরিজ ডায়োড!), তাই এটি ক্যাপাসিট্যান্স (উর্ধ্বমুখী) চার্জ করবে এবং নীচের দিকে ডিসচার্জ হতে অনেক সময় লাগবে। এই আউটপুটে ভোল্টেজ 0..+3.5V (জেনারেটরের সুইংয়ের থেকে সামান্য বেশি) এর মধ্যে থাকে, তারপর ভোল্টেজ সহগ তীব্রভাবে কমে যায় এবং আউটপুটে প্রায় 4.5V-এ পরিবর্ধকগুলি স্যাচুরেটেড হয়। একইভাবে, অ্যামপ্লিফায়ার আউটপুট সার্কিটে (ফিডব্যাক লুপ) কম-প্রতিরোধী প্রতিরোধকগুলি এড়ানো উচিত।

পরিবর্ধক অপারেটিং ফ্রিকোয়েন্সির এক ঘড়ি চক্রের মধ্যে কাজ করার জন্য ডিজাইন করা হয় না। 400 এনএস এর পরিবর্ধকের ভিতরে একটি সংকেত প্রচার বিলম্বের সাথে, তারা এটির জন্য খুব ধীর, এবং ট্রিগার নিয়ন্ত্রণ যুক্তি এটিকে অনুমতি দেয় না (সাইড ডাল আউটপুটে প্রদর্শিত হবে)। বাস্তব PN সার্কিটে, OS সার্কিটের কাটঅফ ফ্রিকোয়েন্সি 200-10000 Hz এর ক্রম অনুসারে নির্বাচিত হয়।

ট্রিগার এবং আউটপুট নিয়ন্ত্রণ যুক্তি- কমপক্ষে 7V সরবরাহ ভোল্টেজের সাথে, যদি জেনারেটরে করাত ভোল্টেজ ডিটি কন্ট্রোল ইনপুটের চেয়ে বেশি হয় এবং যদি করাত ভোল্টেজ যেকোন ত্রুটির পরিবর্ধকের চেয়ে বেশি হয় (বিল্ট-ইন থ্রেশহোল্ডগুলি বিবেচনা করে এবং অফসেট) - সার্কিট আউটপুট অনুমোদিত। যখন জেনারেটর সর্বোচ্চ থেকে শূন্যে রিসেট করা হয়, তখন আউটপুটগুলি বন্ধ হয়ে যায়। প্যারাফেজ আউটপুট সহ একটি ট্রিগার ফ্রিকোয়েন্সিকে অর্ধেক ভাগ করে। ইনপুট 13 (আউটপুট মোড) এ লজিক্যাল 0 সহ, ট্রিগার পর্যায়গুলি OR দ্বারা একত্রিত হয় এবং লজিক্যাল 1 এর সাথে উভয় আউটপুটে সরবরাহ করা হয়, সেগুলি প্রতিটি আউটপুটে আলাদাভাবে সরবরাহ করা হয়।

আউটপুট ট্রানজিস্টর- অন্তর্নির্মিত তাপ সুরক্ষা সহ npn Darlingtons (কিন্তু বর্তমান সুরক্ষা ছাড়া)। এইভাবে, সংগ্রাহক (সাধারণত ইতিবাচক বাসে বন্ধ) এবং ইমিটার (লোডের সময়) মধ্যে ন্যূনতম ভোল্টেজ ড্রপ হল 1.5 V (200 mA-এ সাধারণত), এবং একটি সাধারণ ইমিটার সহ একটি সার্কিটে এটি একটু ভাল, 1.1 V সাধারণ। সর্বাধিক আউটপুট কারেন্ট (একটি খোলা ট্রানজিস্টর সহ) 500 এমএ-তে সীমাবদ্ধ, পুরো চিপের জন্য সর্বাধিক শক্তি 1 ওয়াট।

2. আবেদনের বৈশিষ্ট্য

একটি MIS ট্রানজিস্টরের গেটে কাজ করুন। আউটপুট রিপিটার

একটি ক্যাপাসিটিভ লোডে কাজ করার সময়, যা প্রচলিতভাবে একটি এমআইএস ট্রানজিস্টরের গেট, TL494 আউটপুট ট্রানজিস্টর একটি ইমিটার অনুসারী দ্বারা সুইচ করা হয়। যখন গড় স্রোত 200 mA-তে সীমাবদ্ধ থাকে, সার্কিটটি দ্রুত গেট চার্জ করতে সক্ষম হয়, তবে ট্রানজিস্টর বন্ধ করে এটি নিষ্কাশন করা অসম্ভব। গ্রাউন্ডেড রেসিস্টর ব্যবহার করে গেট ডিসচার্জ করাও অসন্তোষজনকভাবে ধীর। সর্বোপরি, গেটের ক্যাপ্যাসিট্যান্স জুড়ে ভোল্টেজ দ্রুতগতিতে কমে যায় এবং ট্রানজিস্টরটি বন্ধ করতে, গেটটিকে 10V থেকে 3V এর বেশি ডিসচার্জ করতে হবে। রোধের মাধ্যমে স্রাব কারেন্ট সর্বদা ট্রানজিস্টরের মাধ্যমে চার্জ কারেন্টের চেয়ে কম হবে (এবং প্রতিরোধকটি বেশ খানিকটা গরম হবে এবং উপরে যাওয়ার সময় সুইচ কারেন্ট চুরি করবে)।


বিকল্প A. একটি বাহ্যিক পিএনপি ট্রানজিস্টরের মাধ্যমে ডিসচার্জ সার্কিট (শিখমানের ওয়েবসাইট থেকে ধার করা - "জেনসেন অ্যামপ্লিফায়ার পাওয়ার সাপ্লাই" দেখুন)। গেট চার্জ করার সময়, ডায়োডের মধ্য দিয়ে প্রবাহিত কারেন্ট বাহ্যিক PNP ট্রানজিস্টরকে বন্ধ করে দেয়, যখন IC আউটপুট বন্ধ থাকে, ডায়োড বন্ধ হয়ে যায়, ট্রানজিস্টর খোলে এবং গেটটিকে মাটিতে ফেলে দেয়। বিয়োগ - এটি শুধুমাত্র ছোট লোড ক্যাপাসিট্যান্সে কাজ করে (আইসি আউটপুট ট্রানজিস্টরের বর্তমান রিজার্ভ দ্বারা সীমাবদ্ধ)।

TL598 ব্যবহার করার সময় (একটি পুশ-পুল আউটপুট সহ), লো বিট সাইডের ফাংশনটি ইতিমধ্যেই চিপে হার্ডওয়্যারযুক্ত। এই ক্ষেত্রে বিকল্প A ব্যবহারিক নয়।

বিকল্প B. স্বাধীন পরিপূরক পুনরাবৃত্তিকারী। যেহেতু প্রধান বর্তমান লোডটি একটি বহিরাগত ট্রানজিস্টর দ্বারা পরিচালিত হয়, তাই লোডের ক্ষমতা (চার্জ কারেন্ট) কার্যত সীমাহীন। ট্রানজিস্টর এবং ডায়োড - কম স্যাচুরেশন ভোল্টেজ এবং Ck সহ যেকোন এইচএফ, এবং পর্যাপ্ত কারেন্ট রিজার্ভ (1A প্রতি পালস বা তার বেশি)। উদাহরণস্বরূপ, KT644+646, KT972+973। রিপিটারের "গ্রাউন্ড" অবশ্যই পাওয়ার সুইচের উত্সের পাশে সোল্ডার করতে হবে। রিপিটার ট্রানজিস্টরগুলির সংগ্রাহকগুলিকে অবশ্যই একটি সিরামিক ক্যাপাসিটর দিয়ে শান্ট করতে হবে (ডায়াগ্রামে দেখানো হয়নি)।

কোন সার্কিটটি বেছে নেওয়া হবে তা মূলত লোডের প্রকৃতির উপর নির্ভর করে (গেট ক্যাপাসিট্যান্স বা সুইচিং চার্জ), অপারেটিং ফ্রিকোয়েন্সি এবং পালস প্রান্তগুলির জন্য সময়ের প্রয়োজনীয়তা। এবং সেগুলি (ফ্রন্টগুলি) যতটা সম্ভব দ্রুত হওয়া উচিত, কারণ এমআইএস সুইচের ক্ষণস্থায়ী প্রক্রিয়া চলাকালীন বেশিরভাগ তাপের ক্ষতি নষ্ট হয়ে যায়। সমস্যাটির সম্পূর্ণ বিশ্লেষণের জন্য আমি আন্তর্জাতিক সংশোধনকারী সংগ্রহের প্রকাশনাগুলিতে যাওয়ার পরামর্শ দিচ্ছি, তবে আমি নিজেকে একটি উদাহরণের মধ্যে সীমাবদ্ধ করব।

একটি শক্তিশালী ট্রানজিস্টর - IRFI1010N - গেটে একটি রেফারেন্স মোট চার্জ রয়েছে Qg = 130 nC৷ এটি কোনও ছোট কৃতিত্ব নয়, কারণ ট্রানজিস্টরের অত্যন্ত কম চ্যানেল প্রতিরোধের (12 mOhm) নিশ্চিত করার জন্য একটি ব্যতিক্রমীভাবে বড় চ্যানেল এলাকা রয়েছে। এই কীগুলি 12V রূপান্তরকারীগুলিতে প্রয়োজনীয়, যেখানে প্রতিটি মিলিওহম গণনা করা হয়। চ্যানেলটি খোলে তা নিশ্চিত করতে, গেটটি অবশ্যই মাটির সাপেক্ষে Vg=+6V প্রদান করতে হবে, যেখানে মোট গেটের চার্জ হল Qg(Vg)=60nC। 10V চার্জ করা গেটকে নির্ভরযোগ্যভাবে ডিসচার্জ করার জন্য, এটি Qg(Vg)=90nC দ্রবীভূত করা প্রয়োজন।

2. বর্তমান সুরক্ষা, নরম শুরু, ডিউটি ​​চক্র সীমাবদ্ধতা বাস্তবায়ন

একটি নিয়ম হিসাবে, লোড সার্কিটে একটি সিরিজ প্রতিরোধককে বর্তমান সেন্সর হিসাবে কাজ করতে বলা হয়। কিন্তু এটি কনভার্টারের আউটপুটে মূল্যবান ভোল্ট এবং ওয়াট চুরি করবে, এবং শুধুমাত্র লোড সার্কিটগুলি নিরীক্ষণ করবে এবং প্রাথমিক সার্কিটে শর্ট সার্কিট সনাক্ত করতে সক্ষম হবে না। সমাধান হল প্রাথমিক সার্কিটে একটি প্রবর্তক বর্তমান সেন্সর।

সেন্সর নিজেই (বর্তমান ট্রান্সফরমার) হল একটি ক্ষুদ্রাকৃতির টরয়েডাল কয়েল (এর অভ্যন্তরীণ ব্যাস, সেন্সর উইন্ডিং ছাড়াও, প্রধান পাওয়ার ট্রান্সফরমারের প্রাথমিক উইন্ডিংয়ের তারটি অবাধে পাস করা উচিত)। আমরা ট্রান্সফরমারের প্রাথমিক উইন্ডিংয়ের তারটি টরাসের মাধ্যমে পাস করি (তবে উত্সের "গ্রাউন্ড" তার নয়!) আমরা ডিটেক্টরের উত্থান সময় ধ্রুবককে ঘড়ির কম্পাঙ্কের প্রায় 3-10 পিরিয়ডে সেট করি, অপটোকপলারের প্রতিক্রিয়া কারেন্টের উপর ভিত্তি করে ক্ষয়ের সময় 10 গুণ বেশি (1.2-1.6 ভোল্টেজ ড্রপ সহ প্রায় 2-10 mA) ভি)।


ডায়াগ্রামের ডানদিকে TL494 এর জন্য দুটি সাধারণ সমাধান রয়েছে। Rdt1-Rdt2 বিভাজক সর্বোচ্চ শুল্ক চক্র (সর্বনিম্ন বিশ্রাম পর্ব) সেট করে। উদাহরণস্বরূপ, Rdt1=4.7kOhm, Rdt2=47kOhm আউটপুট 4 এ ধ্রুবক ভোল্টেজ হল Udt=450mV, যা 18..22% (IC সিরিজ এবং অপারেটিং ফ্রিকোয়েন্সির উপর নির্ভর করে) একটি বিশ্রাম পর্বের সাথে মিলে যায়।

পাওয়ার চালু হলে, Css ডিসচার্জ হয় এবং DT ইনপুটে সম্ভাব্য Vref (+5V) এর সমান হয়। Rss (ওরফে Rdt2) এর মাধ্যমে Css চার্জ করা হয়, সম্ভাব্য DT-কে বিভাজক দ্বারা সীমাবদ্ধ নিম্ন সীমাতে মসৃণভাবে কমিয়ে দেয়। এটি একটি "নরম শুরু"। Css = 47 μF এবং নির্দেশিত প্রতিরোধকগুলির সাথে, সার্কিট আউটপুটগুলি স্যুইচ করার পরে 0.1 সেকেন্ড খোলা হয় এবং অন্য 0.3-0.5 সেকেন্ডের মধ্যে অপারেটিং ডিউটি ​​চক্রে পৌঁছায়।

সার্কিটে, Rdt1, Rdt2, Css ছাড়াও, দুটি লিক রয়েছে - অপটোকপলারের লিকেজ কারেন্ট (উচ্চ তাপমাত্রায় 10 μA এর বেশি নয়, ঘরের তাপমাত্রায় প্রায় 0.1-1 μA) এবং IC এর বেস কারেন্ট। DT ইনপুট থেকে প্রবাহিত ইনপুট ট্রানজিস্টর। এই স্রোতগুলি বিভাজকের নির্ভুলতাকে উল্লেখযোগ্যভাবে প্রভাবিত করে না তা নিশ্চিত করার জন্য, Rdt2=Rss 5 kOhm এর বেশি নয়, Rdt1 - 100 kOhm এর বেশি নয় নির্বাচন করা হয়েছে।

অবশ্যই, নিয়ন্ত্রণের জন্য একটি অপটোকপলার এবং একটি ডিটি সার্কিটের পছন্দ মৌলিক নয়। তুলনামূলক মোডে একটি ত্রুটি পরিবর্ধক ব্যবহার করা এবং জেনারেটর ক্যাপাসিট্যান্স বা প্রতিরোধক (উদাহরণস্বরূপ, একই অপটোকপ্লার সহ) ব্লক করাও সম্ভব - তবে এটি কেবল একটি শাটডাউন, একটি মসৃণ সীমাবদ্ধতা নয়।

সামঞ্জস্যযোগ্য ফ্রিকোয়েন্সি এবং ডিউটি ​​চক্র সহ TL494 এ জেনারেটর

পরীক্ষা-নিরীক্ষা এবং টিউনিং কাজ করার সময় একটি খুব দরকারী ডিভাইস একটি ফ্রিকোয়েন্সি জেনারেটর। এর জন্য প্রয়োজনীয়তাগুলি ছোট, আপনার শুধুমাত্র প্রয়োজন:

  • ফ্রিকোয়েন্সি সমন্বয় (নাড়ি পুনরাবৃত্তি সময়কাল)
  • শুল্ক চক্র সমন্বয় (শুল্ক ফ্যাক্টর, নাড়ি দৈর্ঘ্য)
  • প্রশস্ত পরিসর
এই প্রয়োজনীয়তাগুলি সুপরিচিত এবং বিস্তৃত TL494 মাইক্রোসার্কিটের উপর ভিত্তি করে জেনারেটর সার্কিট দ্বারা সম্পূর্ণরূপে সন্তুষ্ট। এটি এবং এই সার্কিটের জন্য অন্যান্য অনেক অংশ একটি অপ্রয়োজনীয় কম্পিউটার পাওয়ার সাপ্লাই পাওয়া যাবে। জেনারেটরের একটি পাওয়ার আউটপুট এবং যুক্তি এবং পাওয়ার অংশগুলিকে আলাদাভাবে পাওয়ার করার ক্ষমতা রয়েছে। সার্কিটের যৌক্তিক অংশটি পাওয়ার অংশ থেকে চালিত হতে পারে এবং এটি বিকল্প ভোল্টেজ থেকেও চালিত হতে পারে (ডায়াগ্রামে একটি সংশোধনকারী রয়েছে)।

জেনারেটরের ফ্রিকোয়েন্সি সামঞ্জস্যের পরিসীমা অত্যন্ত বেশি - দশ হাজার হার্জ থেকে 500 কিলোহার্টজ পর্যন্ত এবং কিছু ক্ষেত্রে 1 মেগাহার্টজ পর্যন্ত, মাইক্রোসার্কিটের উপর নির্ভর করে বিভিন্ন নির্মাতাদের সর্বাধিক ফ্রিকোয়েন্সির বিভিন্ন বাস্তব মান রয়েছে যা "চিপাতে পারে" আউট"।



আসুন স্কিমটির বর্ণনায় এগিয়ে যাই:

Pit± এবং Pit~ - সার্কিটের ডিজিটাল অংশের পাওয়ার সাপ্লাই, যথাক্রমে 16-20 ভোল্টের সরাসরি এবং বিকল্প ভোল্টেজ সহ।
Vout হল পাওয়ার ইউনিটের সরবরাহ ভোল্টেজ, এটি জেনারেটরের আউটপুটে হবে, 12 ভোল্ট থেকে। এই ভোল্টেজ থেকে সার্কিটের ডিজিটাল অংশকে পাওয়ার জন্য, পোলারিটি (16 ভোল্ট থেকে) বিবেচনা করে Vout এবং Pit± সংযোগ করা প্রয়োজন।
আউট(+/ডি) - জেনারেটরের পাওয়ার আউটপুট, মেরুত্ব বিবেচনা করে। + - পাওয়ার প্লাস, ডি - ফিল্ড-ইফেক্ট ট্রানজিস্টরের ড্রেন। লোড তাদের সাথে সংযুক্ত করা হয়।
G D S - একটি ফিল্ড-ইফেক্ট ট্রানজিস্টর সংযোগের জন্য স্ক্রু ব্লক, যা আপনার ফ্রিকোয়েন্সি এবং পাওয়ার প্রয়োজনীয়তার উপর নির্ভর করে প্যারামিটার অনুসারে নির্বাচিত হয়। মুদ্রিত সার্কিট বোর্ডের বিন্যাসটি আউটপুট সুইচের কন্ডাক্টরগুলির ন্যূনতম দৈর্ঘ্য এবং তাদের প্রয়োজনীয় প্রস্থকে বিবেচনা করে তৈরি করা হয়।

নিয়ন্ত্রণ:

জেনারেটরের ফ্রিকোয়েন্সি পরিসীমা নিয়ন্ত্রণের জন্য Rt একটি পরিবর্তনশীল প্রতিরোধক; TL494 ফ্রিকোয়েন্সি গণনার জন্য একটি অনলাইন ক্যালকুলেটর নীচে সংযুক্ত করা হয়েছে। রোধ R2 মাইক্রোসার্কিটের টাইমিং প্রতিরোধকের ন্যূনতম প্রতিরোধের মানকে সীমাবদ্ধ করে। এটি মাইক্রোসার্কিটের একটি নির্দিষ্ট উদাহরণের জন্য নির্বাচন করা যেতে পারে, অথবা এটি চিত্রে দেখানো হিসাবে ইনস্টল করা যেতে পারে।
Ct একটি ফ্রিকোয়েন্সি-সেটিং ক্যাপাসিটর, আবার অনলাইন ক্যালকুলেটরের একটি রেফারেন্স। আপনাকে আপনার প্রয়োজনীয়তা অনুসারে সামঞ্জস্য পরিসর সেট করার অনুমতি দেয়।
Rdt ডিউটি ​​চক্র সামঞ্জস্য করার জন্য একটি পরিবর্তনশীল প্রতিরোধক। প্রতিরোধক R1 এর সাহায্যে আপনি 1% থেকে 99% পর্যন্ত সামঞ্জস্যের পরিসরটি সঠিকভাবে সামঞ্জস্য করতে পারেন এবং এর পরিবর্তে আপনি প্রথমে একটি জাম্পার রাখতে পারেন।

Ct, nF:
R2, kOhm:
Rt, kOhm:

সার্কিটের অপারেশন সম্পর্কে কয়েকটি শব্দ। মাইক্রোসার্কিট (আউটপুট কন্ট্রোল) এর পিন 13 এ একটি নিম্ন স্তর প্রয়োগ করে, এটি একক-চক্র মোডে স্যুইচ করা হয়। একটি ফ্রিকোয়েন্সি মিটার (ফ্রিকোয়েন্সি মিটার) জেনারেটরের সাথে সংযোগের জন্য একটি আউটপুট তৈরি করতে মাইক্রোসার্কিটের নীচের ট্রানজিস্টরটি প্রতিরোধক R3-এ লোড করা হয়। মাইক্রোসার্কিটের উপরের ট্রানজিস্টরটি ট্রানজিস্টর S8050 এবং S8550 এর একটি পরিপূরক জোড়ায় ড্রাইভারকে নিয়ন্ত্রণ করে, যার কাজ হল পাওয়ার আউটপুট ট্রানজিস্টরের গেট নিয়ন্ত্রণ করা। প্রতিরোধক R5 গেট কারেন্টকে সীমাবদ্ধ করে তার মান পরিবর্তন করা যেতে পারে। Inductor L1 এবং 47n ধারণক্ষমতা সম্পন্ন একটি ক্যাপাসিটর TL494 কে ড্রাইভার দ্বারা সৃষ্ট সম্ভাব্য হস্তক্ষেপ থেকে রক্ষা করার জন্য একটি ফিল্টার তৈরি করে। আপনার ফ্রিকোয়েন্সি পরিসীমা অনুসারে ইন্ডাক্টর ইনডাক্ট্যান্সকে সামঞ্জস্য করতে হতে পারে। এটি লক্ষ করা উচিত যে ট্রানজিস্টর S8050 এবং S8550 সুযোগ দ্বারা নির্বাচিত হয়নি, যেহেতু তাদের যথেষ্ট শক্তি এবং গতি রয়েছে, যা ফ্রন্টগুলির প্রয়োজনীয় খাড়াতা প্রদান করবে। আপনি দেখতে পাচ্ছেন, স্কিমটি অত্যন্ত সহজ এবং একই সময়ে কার্যকরী।

পরিবর্তনশীল রোধ Rt দুটি সিরিজ-সংযুক্ত প্রতিরোধকের আকারে তৈরি করা উচিত - একক-টার্ন এবং মাল্টি-টার্ন, যদি আপনার ফ্রিকোয়েন্সি নিয়ন্ত্রণের মসৃণতা এবং নির্ভুলতার প্রয়োজন হয়।

মুদ্রিত সার্কিট বোর্ড, ঐতিহ্য অনুসরণ করে, একটি অনুভূত-টিপ কলম দিয়ে আঁকা হয় এবং তামা সালফেট দিয়ে খোদাই করা হয়।



ভোল্টেজ, কারেন্ট এবং ফ্রিকোয়েন্সির জন্য উপযুক্ত প্রায় যেকোনো ফিল্ড-ইফেক্ট ট্রানজিস্টর পাওয়ার ট্রানজিস্টর হিসেবে ব্যবহার করা যেতে পারে। এগুলি হতে পারে: IRF530, IRF630, IRF640, IRF840৷

খোলা অবস্থায় ট্রানজিস্টরের প্রতিরোধ ক্ষমতা যত কম হবে, অপারেশন চলাকালীন এটি তত কম গরম হবে। যাইহোক, এটিতে একটি রেডিয়েটারের উপস্থিতি বাধ্যতামূলক।

ফ্লায়ার দ্বারা প্রদত্ত ডায়াগ্রাম অনুসারে একত্রিত এবং পরীক্ষা করা হয়েছে।

শুধুমাত্র সবচেয়ে গুরুত্বপূর্ণ জিনিস.
সরবরাহ ভোল্টেজ 8-35V (এটি 40V পর্যন্ত সম্ভব বলে মনে হচ্ছে, কিন্তু আমি এটি পরীক্ষা করিনি)
একক-স্ট্রোক এবং পুশ-পুল মোডে কাজ করার ক্ষমতা।

একক-সাইকেল মোডের জন্য, সর্বাধিক পালস সময়কাল 96% (4% ডেড টাইম কম নয়)।
দুই-স্ট্রোক সংস্করণের জন্য, মৃত সময়ের সময়কাল 4% এর কম হতে পারে না।
পিন 4 এ 0...3.3V এর ভোল্টেজ প্রয়োগ করে, আপনি ডেড টাইম সামঞ্জস্য করতে পারেন। এবং একটি মসৃণ লঞ্চ বহন.
5V এর একটি অন্তর্নির্মিত স্থিতিশীল রেফারেন্স ভোল্টেজ উৎস এবং 10mA পর্যন্ত কারেন্ট রয়েছে।
কম সরবরাহ ভোল্টেজের বিরুদ্ধে অন্তর্নির্মিত সুরক্ষা রয়েছে, 5.5...7V (বেশিরভাগ সময় 6.4V) এর নিচে বন্ধ হয়ে যায়। সমস্যা হল এই ভোল্টেজে মসফেটগুলি ইতিমধ্যেই লিনিয়ার মোডে যায় এবং জ্বলে যায়...
Rt পিন (6), রেফারেন্স ভোল্টেজ পিন (14) বা Ct পিন (5) একটি চাবি দিয়ে মাটিতে বন্ধ করে মাইক্রোসার্কিট জেনারেটর বন্ধ করা সম্ভব।

অপারেটিং ফ্রিকোয়েন্সি 1…300 kHz।

গেইন Ku=70..95dB সহ দুটি অন্তর্নির্মিত "ত্রুটি" অপারেশনাল এমপ্লিফায়ার। ইনপুট - আউটপুট (1); (2) এবং (15); (16)। পরিবর্ধকগুলির আউটপুটগুলি একটি OR উপাদান দ্বারা একত্রিত হয়, তাই যার আউটপুট ভোল্টেজ বেশি সে পালস সময়কাল নিয়ন্ত্রণ করে। তুলনাকারী ইনপুটগুলির মধ্যে একটি সাধারণত রেফারেন্স ভোল্টেজ (14) এর সাথে আবদ্ধ থাকে এবং দ্বিতীয়টি - যেখানে এটির প্রয়োজন হয়... অ্যামপ্লিফায়ারের ভিতরে সংকেত বিলম্ব 400 এনএস, সেগুলি একটি ঘড়ি চক্রের মধ্যে কাজ করার জন্য ডিজাইন করা হয়নি৷

মাইক্রোসার্কিটের আউটপুট পর্যায়গুলি, 200 mA এর গড় কারেন্ট সহ, একটি শক্তিশালী মসফেটের গেটের ইনপুট ক্যাপ্যাসিট্যান্স দ্রুত চার্জ করে, তবে এর স্রাব নিশ্চিত করে না। একটি যুক্তিসঙ্গত সময়ে। অতএব, একটি বহিরাগত ড্রাইভার প্রয়োজন.

পিন (5) ক্যাপাসিটর C2 এবং পিন (6) প্রতিরোধক R3; R4 - মাইক্রোসার্কিটের অভ্যন্তরীণ অসিলেটরের ফ্রিকোয়েন্সি সেট করুন। পুশ-পুল মোডে এটি 2 দ্বারা বিভক্ত।

ইনপুট ডাল দ্বারা ট্রিগারিং, সিঙ্ক্রোনাইজেশনের সম্ভাবনা রয়েছে।

সামঞ্জস্যযোগ্য ফ্রিকোয়েন্সি এবং ডিউটি ​​চক্র সহ একক-চক্র জেনারেটর
সামঞ্জস্যযোগ্য ফ্রিকোয়েন্সি এবং ডিউটি ​​চক্র সহ একক-চক্র জেনারেটর (পজ সময়কাল থেকে নাড়ি সময়কালের অনুপাত)। একক ট্রানজিস্টর আউটপুট ড্রাইভার সহ। এই মোডটি একটি সাধারণ পাওয়ার বাসের সাথে পিন 13 সংযুক্ত করে প্রয়োগ করা হয়।

স্কিম (1)


যেহেতু মাইক্রোসার্কিটের দুটি আউটপুট পর্যায় রয়েছে, যা এই ক্ষেত্রে পর্যায়ক্রমে কাজ করে, আউটপুট কারেন্ট বাড়ানোর জন্য সেগুলিকে সমান্তরালভাবে সংযুক্ত করা যেতে পারে... বা অন্তর্ভুক্ত করা হয়নি... (ডায়াগ্রামে সবুজ রঙে) এছাড়াও, প্রতিরোধক R7 সবসময় নয় ইনস্টল করা

একটি op-amp দিয়ে রোধ R10 জুড়ে ভোল্টেজ পরিমাপ করে, আপনি আউটপুট কারেন্ট সীমিত করতে পারেন। দ্বিতীয় ইনপুট বিভাজক R5 দ্বারা একটি রেফারেন্স ভোল্টেজের সাথে সরবরাহ করা হয়; R6. ঠিক আছে, আপনি দেখুন, R10 গরম হবে।

চেইন C6; R11, (3) পায়ে, বৃহত্তর স্থিতিশীলতার জন্য স্থাপন করা হয়, ডেটাশিট এটির জন্য জিজ্ঞাসা করে, কিন্তু এটি এটি ছাড়াই কাজ করে। ট্রানজিস্টর একটি NPN কাঠামো হিসাবে ব্যবহার করা যেতে পারে।


স্কিম (2)



স্কিম (3)

সামঞ্জস্যযোগ্য ফ্রিকোয়েন্সি এবং ডিউটি ​​চক্র সহ একক-চক্র জেনারেটর। দুটি ট্রানজিস্টর আউটপুট ড্রাইভার সহ (পরিপূরক পুনরাবৃত্তিকারী)।
আমি কি বলতে পারি? সিগন্যালের আকারটি আরও ভাল, স্যুইচিং মুহুর্তে ক্ষণস্থায়ী প্রক্রিয়াগুলি হ্রাস পায়, লোড ক্ষমতা বেশি এবং তাপের ক্ষতি কম হয়। যদিও এটি একটি বিষয়গত মতামত হতে পারে। কিন্তু. এখন আমি শুধুমাত্র দুটি ট্রানজিস্টর ড্রাইভার ব্যবহার করি। হ্যাঁ, গেট সার্কিটের প্রতিরোধক ট্রানজিয়েন্টের পরিবর্তনের গতি সীমিত করে।


স্কিম (4)


এবং এখানে আমাদের কাছে ভোল্টেজ নিয়ন্ত্রণ এবং বর্তমান সীমাবদ্ধতা সহ একটি সাধারণ বুস্ট (বুস্ট) সামঞ্জস্যযোগ্য একক-এন্ডেড কনভার্টারের একটি সার্কিট রয়েছে।

সার্কিট কাজ করছে, আমি এটি বিভিন্ন সংস্করণে একত্রিত করেছি। আউটপুট ভোল্টেজ কয়েল L1 এর বাঁক সংখ্যা এবং প্রতিরোধক R7 এর প্রতিরোধের উপর নির্ভর করে; R10; R11, যা সেটআপের সময় নির্বাচিত হয়... রিল নিজেই যে কোনও কিছুতে ক্ষত হতে পারে। আকার - শক্তির উপর নির্ভর করে। রিং, শ-কোর, এমনকি শুধু রডের উপর। কিন্তু এটা স্যাচুরেটেড হওয়া উচিত নয়। অতএব, যদি রিংটি ফেরাইট দিয়ে তৈরি হয়, তবে এটি কাটা এবং একটি ফাঁক দিয়ে আঠালো করা প্রয়োজন। কম্পিউটার পাওয়ার সাপ্লাই থেকে বড় রিংগুলি ভালভাবে কাজ করবে; এগুলি কাটার দরকার নেই, তারা "পল্ভারাইজড আয়রন" দিয়ে তৈরি; যদি কোরটি ডাব্লু-আকৃতির হয়, আমরা একটি চৌম্বকীয় ফাঁক স্থাপন করি না তারা একটি ছোট মাঝারি কোর দিয়ে আসে - এর মধ্যে ইতিমধ্যে একটি ফাঁক রয়েছে। সংক্ষেপে, আমরা এটিকে একটি পুরু তামা বা মাউন্টিং তার দিয়ে বাতাস করি (শক্তির উপর নির্ভর করে 0.5-1.0 মিমি) এবং বাঁকের সংখ্যা 10 বা তার বেশি (আমরা কী ভোল্টেজ পেতে চাই তার উপর নির্ভর করে)। আমরা লোডকে কম বিদ্যুতের পরিকল্পিত ভোল্টেজের সাথে সংযুক্ত করি। আমরা একটি শক্তিশালী বাতির মাধ্যমে আমাদের সৃষ্টিকে ব্যাটারির সাথে সংযুক্ত করি। যদি বাতিটি সম্পূর্ণ তীব্রতায় না জ্বলে তবে একটি ভোল্টমিটার এবং একটি অসিলোস্কোপ নিন...

আমরা প্রতিরোধক R7 নির্বাচন করি; R10; R11 এবং কুণ্ডলী L1 এর বাঁক সংখ্যা, লোড এ উদ্দিষ্ট ভোল্টেজ অর্জন।

চোক Dr1 - যেকোন কোরে মোটা তার দিয়ে 5...10 মোড়। আমি এমন বিকল্পগুলিও দেখেছি যেখানে L1 এবং Dr1 একই কোরে ক্ষতবিক্ষত রয়েছে। আমি নিজে এটা চেক করিনি।


স্কিম (5)


এটি একটি বাস্তব বুস্ট কনভার্টার সার্কিট যা ব্যবহার করা যেতে পারে, উদাহরণস্বরূপ, একটি গাড়ির ব্যাটারি থেকে একটি ল্যাপটপ চার্জ করতে। ইনপুটগুলিতে তুলনাকারী (15); (16) "দাতা" ব্যাটারির ভোল্টেজ নিরীক্ষণ করে এবং কনভার্টারটি বন্ধ করে দেয় যখন এটির ভোল্টেজ নির্বাচিত থ্রেশহোল্ডের নীচে নেমে যায়।

চেইন C8; R12; VD2 - তথাকথিত Snubber, প্রবর্তক নির্গমন দমন করার জন্য ডিজাইন করা হয়েছে। একটি লো-ভোল্টেজ MOSFET সংরক্ষণ করে, উদাহরণস্বরূপ IRF3205 সহ্য করতে পারে, যদি আমি ভুল না করি, (ড্রেন - উত্স) 50V পর্যন্ত। যাইহোক, এটি ব্যাপকভাবে কার্যকারিতা হ্রাস করে। ডায়োড এবং রোধ উভয়ই বেশ গরম হয়ে যায়। এটি নির্ভরযোগ্যতা বাড়ায়। কিছু মোডে (সার্কিট), এটি ছাড়া, একটি শক্তিশালী ট্রানজিস্টর কেবল অবিলম্বে জ্বলে যায়। কিন্তু কখনও কখনও এটি এই সব ছাড়া কাজ করে... আপনাকে অসিলোস্কোপ দেখতে হবে...


স্কিম (6)


পুশ-পুল মাস্টার জেনারেটর।
বিভিন্ন নকশা এবং সমন্বয় বিকল্প.
প্রথম নজরে, সুইচিং সার্কিটের বিশাল বৈচিত্র্য অনেক বেশি পরিমিত সংখ্যায় নেমে আসে যেগুলি আসলে কাজ করে... যখন আমি একটি "চাতুর" সার্কিট দেখি তখন আমি সাধারণত প্রথম যেটা করি তা হল এটিকে পরিচিত স্ট্যান্ডার্ডে পুনরায় আঁকতে হয় আমার কাছে. আগে একে GOST বলা হত। আজকাল এটি কীভাবে আঁকতে হয় তা স্পষ্ট নয়, যা এটি উপলব্ধি করা অত্যন্ত কঠিন করে তোলে। আর ভুল লুকিয়ে রাখে। আমি মনে করি এটি প্রায়শই উদ্দেশ্যমূলকভাবে করা হয়।
অর্ধ-সেতু বা সেতুর জন্য মাস্টার অসিলেটর। এটি হল সবচেয়ে সহজ জেনারেটর। আপনি (3) পায়ে একটি অপটোকপলার ব্যবহার করে সময়কাল সামঞ্জস্য করতে পারেন, তবে সামঞ্জস্য খুব তীক্ষ্ণ। আমি মাইক্রোসার্কিটের অপারেশনে বাধা দিতে এটি ব্যবহার করেছি। কিছু "আলোক" বলে যে (3) পিন ব্যবহার করে নিয়ন্ত্রণ করা অসম্ভব, মাইক্রোসার্কিট জ্বলে যাবে, তবে আমার অভিজ্ঞতা এই সমাধানটির কার্যকারিতা নিশ্চিত করে। উপায় দ্বারা, এটি সফলভাবে একটি ঢালাই বৈদ্যুতিন সংকেতের মেরু বদল ব্যবহার করা হয়েছিল।


স্কিম (10)

বর্তমান এবং ভোল্টেজ নিয়ন্ত্রণ (স্থিরকরণ) বাস্তবায়নের উদাহরণ। আমি নিজে 12 নং ছবিতে যা করেছি তা পছন্দ করেছি। আপনাকে সম্ভবত নীল ক্যাপাসিটারগুলি ইনস্টল করতে হবে না, তবে সেগুলি থাকা আরও ভাল।


স্কিম (11)



শীঘ্র বা পরে পাওয়ার সাপ্লাই ডিভাইসগুলির ডিজাইনের সাথে জড়িত সমস্ত ইলেকট্রনিক ইঞ্জিনিয়াররা লোডের সমতুল্য অভাব বা বিদ্যমান লোডগুলির কার্যকরী সীমাবদ্ধতার পাশাপাশি তাদের মাত্রাগুলির সমস্যার মুখোমুখি হন। সৌভাগ্যবশত, রাশিয়ান বাজারে সস্তা এবং শক্তিশালী ফিল্ড-ইফেক্ট ট্রানজিস্টরের উপস্থিতি পরিস্থিতি কিছুটা সংশোধন করেছে।

ফিল্ড-ইফেক্ট ট্রানজিস্টরের উপর ভিত্তি করে ইলেকট্রনিক লোডের অপেশাদার ডিজাইনগুলি প্রদর্শিত হতে শুরু করে, যা তাদের বাইপোলার প্রতিপক্ষের তুলনায় ইলেকট্রনিক প্রতিরোধ হিসাবে ব্যবহারের জন্য আরও উপযুক্ত: ভাল তাপমাত্রা স্থিতিশীলতা, খোলা অবস্থায় প্রায় শূন্য চ্যানেল প্রতিরোধ, কম নিয়ন্ত্রণ স্রোত - প্রধান সুবিধা যা নির্ধারণ করে শক্তিশালী ডিভাইসগুলিতে নিয়ন্ত্রণকারী উপাদান হিসাবে তাদের ব্যবহারের জন্য অগ্রাধিকার। তদুপরি, ডিভাইস নির্মাতাদের কাছ থেকে বিভিন্ন ধরণের অফার এসেছে, যার মূল্য তালিকাগুলি ইলেকট্রনিক লোডের বিভিন্ন মডেলের সাথে পরিপূর্ণ। কিন্তু, যেহেতু নির্মাতারা "ইলেকট্রনিক লোড" নামক তাদের খুব জটিল এবং বহুমুখী পণ্যগুলিকে প্রধানত উত্পাদনের উপর ফোকাস করে, তাই এই পণ্যগুলির দাম এত বেশি যে শুধুমাত্র একজন খুব ধনী ব্যক্তি ক্রয় করতে পারে। সত্য, এটি সম্পূর্ণরূপে পরিষ্কার নয় কেন একজন ধনী ব্যক্তির ইলেকট্রনিক লোড প্রয়োজন।

আমি অপেশাদার ইঞ্জিনিয়ারিং সেক্টরের লক্ষ্যে বাণিজ্যিকভাবে তৈরি কোনো EN লক্ষ্য করিনি। এর মানে হল যে আপনাকে আবার নিজেকে সবকিছু করতে হবে। ওহ... শুরু করা যাক.

ইলেকট্রনিক লোড সমতুল্য সুবিধা

কেন, নীতিগতভাবে, ইলেকট্রনিক লোডের সমতুল্যগুলি প্রথাগত উপায়ে (শক্তিশালী প্রতিরোধক, ভাস্বর বাতি, তাপ হিটার এবং অন্যান্য ডিভাইস) প্রায়শই বিভিন্ন পাওয়ার ডিভাইস সেট আপ করার সময় ডিজাইনারদের দ্বারা ব্যবহৃত হয়?

পোর্টালের নাগরিক যারা বিদ্যুৎ সরবরাহের নকশা এবং মেরামতের সাথে জড়িত তারা নিঃসন্দেহে এই প্রশ্নের উত্তর জানেন। ব্যক্তিগতভাবে, আমি আপনার "ল্যাবরেটরি" তে ইলেকট্রনিক লোডের জন্য যথেষ্ট দুটি কারণ দেখতে পাচ্ছি: ছোট মাত্রা, সহজ উপায় ব্যবহার করে বড় সীমার মধ্যে লোড পাওয়ার নিয়ন্ত্রণ করার ক্ষমতা (যেভাবে আমরা শব্দের ভলিউম বা আউটপুট ভোল্টেজ নিয়ন্ত্রণ করি। পাওয়ার সাপ্লাই - একটি নিয়মিত পরিবর্তনশীল রোধ সহ এবং শক্তিশালী সুইচ পরিচিতি, রিওস্ট্যাট মোটর ইত্যাদি দ্বারা নয়)।

উপরন্তু, ইলেকট্রনিক লোডের "ক্রিয়াগুলি" সহজেই স্বয়ংক্রিয় হতে পারে, এইভাবে একটি ইলেকট্রনিক লোড ব্যবহার করে একটি পাওয়ার ডিভাইস পরীক্ষা করা সহজ এবং আরও পরিশীলিত করে তোলে। একই সময়ে, অবশ্যই, প্রকৌশলীর চোখ এবং হাত মুক্ত হয় এবং কাজটি আরও উত্পাদনশীল হয়ে ওঠে। কিন্তু সমস্ত সম্ভাব্য ঘণ্টা এবং বাঁশির আনন্দ এই নিবন্ধে নেই, এবং, সম্ভবত, অন্য লেখকের কাছ থেকে। ইতিমধ্যে, আসুন কেবলমাত্র আরও এক ধরণের ইলেকট্রনিক লোড সম্পর্কে কথা বলি - স্পন্দিত।

EN এর স্পন্দিত সংস্করণের বৈশিষ্ট্য

অ্যানালগ ইলেকট্রনিক লোড অবশ্যই ভালো, এবং যারা পাওয়ার ডিভাইস সেট আপ করার সময় ইলেকট্রনিক লোড ব্যবহার করেছেন তাদের অনেকেই এর সুবিধার প্রশংসা করেছেন। পালস পাওয়ার সাপ্লাইগুলির নিজস্ব বিশেষত্ব রয়েছে, এটি একটি স্পন্দিত লোডের অধীনে পাওয়ার সাপ্লাইয়ের ক্রিয়াকলাপকে মূল্যায়ন করা সম্ভব করে তোলে, যেমন, উদাহরণস্বরূপ, ডিজিটাল ডিভাইসগুলির ক্রিয়াকলাপ। শক্তিশালী অডিও ফ্রিকোয়েন্সি অ্যামপ্লিফায়ারগুলির পাওয়ার সাপ্লাই ডিভাইসগুলিতেও একটি বৈশিষ্ট্যযুক্ত প্রভাব রয়েছে এবং সেইজন্য একটি নির্দিষ্ট পরিবর্ধকের জন্য ডিজাইন করা এবং তৈরি করা পাওয়ার সাপ্লাই একটি নির্দিষ্ট নির্দিষ্ট লোডের অধীনে কীভাবে আচরণ করবে তা জেনে ভাল লাগবে৷

মেরামত করা পাওয়ার সাপ্লাই নির্ণয় করার সময়, স্পন্দিত EN ব্যবহারের প্রভাবও লক্ষণীয়। উদাহরণস্বরূপ, স্পন্দিত EN এর সাহায্যে, একটি আধুনিক কম্পিউটার পাওয়ার সাপ্লাইয়ের একটি ত্রুটি পাওয়া গেছে। এই 850-ওয়াট পাওয়ার সাপ্লাইয়ের ঘোষিত ত্রুটিটি নিম্নরূপ ছিল: কম্পিউটার, এই পাওয়ার সাপ্লাইয়ের সাথে কাজ করার সময়, যে কোনও অ্যাপ্লিকেশনের সাথে কাজ করার সময় যে কোনও সময় এলোমেলোভাবে বন্ধ হয়ে যায়, শাটডাউনের সময় যে শক্তি খরচ করা হোক না কেন। যখন একটি সাধারণ লোড (+3V, +5V এবং +12V এর হ্যালোজেন বাল্বগুলির একগুচ্ছ শক্তিশালী প্রতিরোধকের) জন্য পরীক্ষা করা হয়, তখন এই পাওয়ার সাপ্লাইটি কয়েক ঘন্টা ধরে একটি ঠ্যাং দিয়ে কাজ করেছিল, যদিও লোড পাওয়ার এর 2/3 ছিল ক্ষমতা ঘোষণা করে। +3V চ্যানেলে একটি স্পন্দিত বৈদ্যুতিক পাওয়ার সাপ্লাই সংযোগ করার সময় ত্রুটি দেখা দেয় এবং অ্যামিটার সুই 1A চিহ্নে পৌঁছানোর সাথে সাথে পাওয়ার সাপ্লাই বন্ধ হতে শুরু করে। এই ক্ষেত্রে, অন্যান্য ইতিবাচক ভোল্টেজ চ্যানেলগুলির প্রতিটিতে লোড স্রোত 3A অতিক্রম করেনি। সুপারভাইজার বোর্ডটি ত্রুটিপূর্ণ বলে প্রমাণিত হয়েছিল এবং একটি অনুরূপ একটি দিয়ে প্রতিস্থাপিত হয়েছিল (সৌভাগ্যবশত, একটি বার্ন-আউট পাওয়ার ইউনিটের সাথে একই পাওয়ার সাপ্লাই ইউনিট ছিল), তারপরে পাওয়ার সাপ্লাই ইউনিটটি স্পন্দনের জন্য অনুমোদিত সর্বাধিক কারেন্টে স্বাভাবিকভাবে কাজ করেছিল। ব্যবহৃত পাওয়ার সাপ্লাই উদাহরণ (10A), যা এই নিবন্ধে বর্ণনার বিষয়।

ধারণা

একটি পালস লোড তৈরির ধারণাটি বেশ অনেক আগে উপস্থিত হয়েছিল এবং 2002 সালে প্রথম প্রয়োগ করা হয়েছিল, তবে এটির বর্তমান আকারে নয় এবং একটি ভিন্ন উপাদানের ভিত্তিতে এবং কিছুটা ভিন্ন উদ্দেশ্যে, এবং সেই সময়ে যথেষ্ট ছিল না। ব্যক্তিগতভাবে এই ধারণাটি বিকাশ করার জন্য আমার জন্য প্রণোদনা এবং অন্যান্য ভিত্তি। এখন তারাগুলি ভিন্নভাবে সারিবদ্ধ হয়েছে এবং এই ডিভাইসের পরবর্তী অবতারের জন্য কিছু একত্রিত হয়েছে। অন্যদিকে, ডিভাইসটির প্রাথমিকভাবে একটি সামান্য ভিন্ন উদ্দেশ্য ছিল - পালস ট্রান্সফরমার এবং চোকগুলির পরামিতি পরীক্ষা করা। কিন্তু একটি অন্যটির সাথে হস্তক্ষেপ করে না। যাইহোক, যদি কেউ এই বা অনুরূপ ডিভাইস ব্যবহার করে প্রবর্তক উপাদানগুলি নিয়ে গবেষণা করতে চান, অনুগ্রহ করে: নীচে এই বিষয়ে নিবেদিত সম্মানিত (পাওয়ার ইলেকট্রনিক্স ক্ষেত্রে) প্রকৌশলীদের নিবন্ধের সংরক্ষণাগার রয়েছে৷

সুতরাং, নীতিগতভাবে একটি "শাস্ত্রীয়" (অ্যানালগ) EN কি? বর্তমান স্টেবিলাইজার শর্ট সার্কিট মোডে কাজ করে। এবং আর কিছুনা. এবং যিনি, যে কোনও আবেগের সাথে উপযুক্ত, তিনি চার্জার বা ওয়েল্ডিং মেশিনের আউটপুট টার্মিনালগুলি বন্ধ করে দেবেন এবং বলবেন: এটি একটি ইলেকট্রনিক লোড! এটি অবশ্যই সত্য নয় যে এই ধরনের একটি শর্ট সার্কিট ডিভাইসের জন্য এবং অপারেটর উভয়ের জন্যই ক্ষতিকারক পরিণতি ঘটাবে না, তবে উভয় ডিভাইসই প্রকৃতপক্ষে কারেন্টের উৎস এবং কিছু সূক্ষ্ম-টিউনিংয়ের পরে, দাবি করতে পারে একটি ইলেকট্রনিক লোড, অন্য যেকোনো নির্বিচারে আদিম বর্তমান উৎসের মতো। অ্যানালগ EN-তে কারেন্ট নির্ভর করবে পরীক্ষিত পাওয়ার সাপ্লাইয়ের আউটপুটে ভোল্টেজের উপর, ফিল্ড-ইফেক্ট ট্রানজিস্টর চ্যানেলের ওমিক রেজিস্ট্যান্স, এর গেটে ভোল্টেজের মান দ্বারা সেট করা।

একটি স্পন্দিত বৈদ্যুতিক পাওয়ার সাপ্লাইয়ের কারেন্ট নির্ভর করবে পরামিতিগুলির যোগফলের উপর, যার মধ্যে থাকবে নাড়ির প্রস্থ, আউটপুট সুইচের খোলা চ্যানেলের ন্যূনতম প্রতিরোধ এবং পরীক্ষিত পাওয়ার সাপ্লাইয়ের বৈশিষ্ট্যগুলি (ক্যাপাসিটরের ক্যাপাসিট্যান্স, ইনডাক্টেন্স) পাওয়ার সাপ্লাই চোক, আউটপুট ভোল্টেজ)।
যখন সুইচটি খোলা থাকে, তখন EN একটি স্বল্প-মেয়াদী শর্ট সার্কিট গঠন করে, যাতে পরীক্ষিত পাওয়ার সাপ্লাই ইউনিটের ক্যাপাসিটারগুলি ডিসচার্জ হয় এবং চোকগুলি (যদি সেগুলি পাওয়ার সাপ্লাই ইউনিটে থাকে) পরিপূর্ণ হতে থাকে। একটি ক্লাসিক শর্ট সার্কিট, তবে, ঘটবে না, কারণ পালস প্রস্থ মাইক্রোসেকেন্ড মানের দ্বারা সীমাবদ্ধ যা পাওয়ার সাপ্লাই ক্যাপাসিটারগুলির স্রাব প্রবাহের মাত্রা নির্ধারণ করে।
একই সময়ে, একটি স্পন্দিত পাওয়ার সাপ্লাই পরীক্ষা করা পাওয়ার সাপ্লাই পরীক্ষা করা আরও চরম। কিন্তু এই ধরনের চেক পাওয়ার সাপ্লাই ডিভাইসে সরবরাহকৃত সাপ্লাই কন্ডাক্টরের গুণমান সহ আরও "খারাপ" প্রকাশ করে। এইভাবে, একটি স্পন্দিত বৈদ্যুতিক পাওয়ার সাপ্লাইকে 12-ভোল্ট পাওয়ার সাপ্লাইয়ের সাথে সংযোগ করার সময় 0.8 মিমি কোর ব্যাস এবং 5A লোড কারেন্টের সাথে সংযোগকারী তামার তারের সাথে সংযোগ করার সময়, বৈদ্যুতিক পাওয়ার সাপ্লাইয়ের অসিলোগ্রামটি তরঙ্গ প্রকাশ করে, যা আয়তক্ষেত্রাকার একটি ক্রম ছিল। 2V পর্যন্ত সুইং সহ ডাল এবং সরবরাহ ভোল্টেজের সমান একটি প্রশস্ততা সহ তীক্ষ্ণ স্পাইক। পাওয়ার সাপ্লাইয়ের টার্মিনালগুলিতে বৈদ্যুতিক পাওয়ার সাপ্লাই থেকে কার্যত কোনও স্পন্দন ছিল না। EN-তে নিজেই, EN সরবরাহকারী প্রতিটি কন্ডাক্টরের কোরের সংখ্যা বাড়িয়ে 6 পর্যন্ত তরঙ্গগুলিকে ন্যূনতম (50 mV-এর কম) করা হয়েছিল। "টু-কোর" সংস্করণে, একটি ন্যূনতম লহর " লোড সহ সংযোগ পয়েন্টে 4700 mF ক্ষমতা সহ একটি অতিরিক্ত ইলেক্ট্রোলাইটিক ক্যাপাসিটর ইনস্টল করে ছয়-কোর" সংস্করণটি অর্জন করা হয়েছিল। সুতরাং, একটি পাওয়ার সাপ্লাই তৈরি করার সময়, স্পন্দিত পাওয়ার সাপ্লাই খুব দরকারী হতে পারে।

পরিকল্পনা


EN জনপ্রিয় (বড় সংখ্যক কম্পিউটার পাওয়ার সাপ্লাইকে ধন্যবাদ) উপাদান ব্যবহার করে একত্রিত করা হয়। EN সার্কিটে সামঞ্জস্যযোগ্য ফ্রিকোয়েন্সি এবং পালস প্রস্থ, তাপ এবং বর্তমান সুরক্ষা সহ একটি জেনারেটর রয়েছে। জেনারেটরটি PWM-এ তৈরি TL494.



ফ্রিকোয়েন্সি সমন্বয় পরিবর্তনশীল রোধ R1 দ্বারা বাহিত হয়; শুল্ক চক্র - R2; তাপ সংবেদনশীলতা - R4; বর্তমান সীমা - R14।
জেনারেটরের আউটপুট 4 বা তার বেশি ফিল্ড-ইফেক্ট ট্রানজিস্টরের গেট ক্যাপাসিট্যান্সে কাজ করার জন্য একটি ইমিটার ফলোয়ার (VT1, VT2) দ্বারা চালিত হয়।

সার্কিটের জেনারেটর অংশ এবং ট্রানজিস্টর VT1, VT2-এর বাফার স্টেজ একটি পৃথক পাওয়ার সোর্স থেকে +12...15V এর আউটপুট ভোল্টেজ এবং 2A পর্যন্ত কারেন্ট বা পাওয়ারের +12V চ্যানেল থেকে চালিত হতে পারে। সরবরাহ পরীক্ষা করা হচ্ছে।

EN এর আউটপুট (ফিল্ড-ইফেক্ট ট্রানজিস্টরের ড্রেন) পরীক্ষা করা পাওয়ার সাপ্লাইয়ের "+" এর সাথে সংযুক্ত, EN এর সাধারণ তারটি পাওয়ার সাপ্লাইয়ের সাধারণ তারের সাথে সংযুক্ত। ফিল্ড-ইফেক্ট ট্রানজিস্টরের প্রতিটি গেট (তাদের গ্রুপ ব্যবহারের ক্ষেত্রে) অবশ্যই বাফার স্টেজের আউটপুটের সাথে নিজস্ব প্রতিরোধকের সাথে সংযুক্ত থাকতে হবে, গেট প্যারামিটারের (ক্যাপাসিট্যান্স, থ্রেশহোল্ড ভোল্টেজ) পার্থক্য সমতলকরণ এবং সিঙ্ক্রোনাস অপারেশন নিশ্চিত করতে হবে। সুইচগুলির



ফটোগ্রাফগুলি দেখায় যে EN বোর্ডে একজোড়া এলইডি রয়েছে: সবুজ - লোড পাওয়ার ইন্ডিকেটর, লাল একটি গুরুত্বপূর্ণ তাপমাত্রায় (ধ্রুব আলো) বা যখন কারেন্ট সীমিত থাকে (সবচেয়ে লক্ষণীয় ঝাঁকুনি) মাইক্রোসার্কিট ত্রুটি পরিবর্ধকগুলির ক্রিয়াকলাপ নির্দেশ করে৷ লাল LED এর অপারেশন KT315 ট্রানজিস্টরের একটি কী দ্বারা নিয়ন্ত্রিত হয়, যার ইমিটার একটি সাধারণ তারের সাথে সংযুক্ত থাকে; মাইক্রোসার্কিটের পিন 3 সহ বেস (5-15 kOhm প্রতিরোধকের মাধ্যমে); সংগ্রাহক - (একটি 1.1 kOhm প্রতিরোধকের মাধ্যমে) LED এর ক্যাথোডের সাথে, যার অ্যানোডটি DA1 মাইক্রোসার্কিটের 8, 11, 12 পিনের সাথে সংযুক্ত। এই নোড ডায়াগ্রামে দেখানো হয় না, কারণ একেবারে বাধ্যতামূলক নয়।


রোধ R16 সংক্রান্ত। যখন 10A এর একটি কারেন্ট এটির মধ্য দিয়ে যায়, তখন রোধকারী দ্বারা অপসারিত শক্তি হবে 5W (ডায়াগ্রামে নির্দেশিত প্রতিরোধের সাথে)। প্রকৃত নকশায়, 0.1 ওহম প্রতিরোধের একটি প্রতিরোধক ব্যবহার করা হয় (প্রয়োজনীয় মান পাওয়া যায়নি) এবং একই কারেন্টে এর শরীরে ক্ষয়প্রাপ্ত শক্তি হবে 10 ওয়াট। এই ক্ষেত্রে, প্রতিরোধকের তাপমাত্রা EN কীগুলির তাপমাত্রার চেয়ে অনেক বেশি, যা (ফটোতে দেখানো রেডিয়েটর ব্যবহার করার সময়) বেশি গরম হয় না। অতএব, রেজিস্টর R16 (বা অবিলম্বে আশেপাশে) তাপমাত্রা সেন্সর ইনস্টল করা ভাল এবং EN কী সহ রেডিয়েটারে নয়।

গতকাল আমরা এটির একটি ব্যবহারিক অধ্যয়ন করতে এসেছি, সম্প্রতি পর্যন্ত সবচেয়ে সাধারণ (এই মুহূর্তে, প্রযুক্তি আরও এগিয়ে গেছে) PWM কন্ট্রোলার। আমি প্রায় 30টি ত্রুটিপূর্ণ ব্লক জমেছি। আমি জানি না প্রথমে কী আসে, আমি কীভাবে সেগুলি মেরামত করতে হয় তা শিখতে সেগুলি সংগ্রহ করেছি, বা আমি কীভাবে সেগুলি মেরামত করতে হয় তা শেখার স্বপ্ন দেখেছিলাম, এবং সেই কারণেই আমি সেগুলি সংগ্রহ করেছি =))) আমি একটি miniDSO DS203 খেলনা অসিলোস্কোপ কিনেছি (ইতিমধ্যেই বেশ কয়েক বছর আগে), প্রাথমিকভাবে স্পন্দিত উত্সগুলির ব্যবহারিক উদ্দেশ্যে অধ্যয়নের জন্য। তারপরে আমি এটির সাথে খেললাম এবং বিদ্যুৎ সরবরাহ মেরামতের ধারণাটি ত্যাগ করেছি। মাইক্রোসার্কিটের গঠন বোঝার জন্য আমার যথেষ্ট অভিজ্ঞতা এবং মনোবল ছিল না।
এখনও অবধি আমি কেবলমাত্র ছোটখাটো ক্ষতি সহ ব্লকগুলি মেরামত করতে সক্ষম হয়েছি।
ইন্টারনেটে মাইক্রোসার্কিট কীভাবে কাজ করে তার যথেষ্ট বর্ণনা রয়েছে, উদাহরণস্বরূপ, আমি এই নিবন্ধটি আগে পড়েছি, কিন্তু আমি এখনই কিছুই বুঝতে পারিনি।
কন্ট্রোল চিপ TL494
এবং তারপরে আমি একটি ভিডিও দেখেছিলাম যে একটি লোক সহজেই একটি ব্লক গ্রহণ এবং মেরামত করছে।
সেই মুহূর্তের লিঙ্ক যেখানে তিনি PWM চিপের সেবাযোগ্যতা পরীক্ষা করেন।
একটি ATX পাওয়ার সাপ্লাই সঠিক মেরামত (TheMovieAll দ্বারা)
সাধারণভাবে, আমি আবার একটি ত্রুটিপূর্ণ ব্লক বের করেছি এবং এটির পরে পুনরাবৃত্তি করতে শুরু করেছি।
AT ব্লকে, পরীক্ষাটি অবিলম্বে সফল হয়েছিল; যখন একটি বাহ্যিক উত্স থেকে বিদ্যুৎ সরবরাহ করা হয়েছিল, তখন মাইক্রোসার্কিট শুরু হয়েছিল এবং আমি মাইক্রোসার্কিটের 5 তম, 8 তম এবং 11 তম পায়ে "সঠিক" অসিলোগ্রামগুলি পর্যবেক্ষণ করতে পারি৷ এটি ATX এর সাথে সরাসরি কাজ করেনি।
কিছুক্ষণ কষ্ট করার পর, বেশ কয়েকটি ATX ব্লকে PWM চালানোর চেষ্টা করে, আমি ভেবেছিলাম যে PWM তাদের সবকটিতে ত্রুটিপূর্ণ ছিল না। তাই আমি কিছু ভুল করছি. তখনই পিএস-অন সিগন্যালের ধারণা আসে। আমি এটি মাটিতে সংক্ষিপ্ত করেছি এবং এটি কাজ করেছে! এখানে আমি যোগ করতে চাই যে 4র্থ পায়ে রোধকে ছোট করা একটি সর্বজনীন পদ্ধতি নয়, এটি ব্লক বোর্ডের নির্দিষ্ট নকশার উপর নির্ভর করে, প্রায়শই ডিটিসি Vref এর সাথে এমনভাবে সংযুক্ত থাকে যাতে সেগুলিকে কাটা ছাড়াই সংযোগ বিচ্ছিন্ন করা যায় না। ট্র্যাক মুভি সব লোক ভাগ্যবান ছিল সে রেসিস্টর ছোট করেছে এবং ভিরেফকে মাটিতে পাঠায়নি। এই প্রতিরোধকটি একেবারে স্পর্শ না করাই ভাল। একটি আরও সঠিক পদ্ধতি হল সুপরিচিত সাইট ROM.by, পয়েন্ট 3 থেকে নির্দেশাবলী। যদিও আমি এটি বেশ কয়েক বছর আগে পড়েছিলাম, তথ্যের প্রাচুর্য আমাকে বুঝতে এবং বুঝতে দেয়নি। ঠিক আছে, দৃশ্যত, কিছু জিনিস বুঝতে বছর লাগে =)))
ROM.by: একজন তরুণ পাওয়ার সাপ্লাই মেরামতের এবিসি। এটি পড়ুন, তারপর একটি প্রশ্ন জিজ্ঞাসা করুন.
উদ্ধৃতি:
"PWM চিপ TL494 এবং অনুরূপ (KA7500) পরীক্ষা করা হচ্ছে।
বাকি PWM সম্পর্কে আরও তথ্য লেখা হবে।
1. নেটওয়ার্কের সাথে ইউনিট সংযোগ করুন. 12 তম পায়ে প্রায় 12-30V হওয়া উচিত।
2. যদি না হয়, ডিউটি ​​রুম চেক করুন. যদি থাকে, লেগ 14-এ ভোল্টেজ পরীক্ষা করুন - এটি +5V (+-5%) হওয়া উচিত।
3. যদি না হয়, microcircuit পরিবর্তন. যদি তাই হয়, PS-ON যখন মাটিতে ছোট হয় তখন ৪র্থ পায়ের আচরণ পরীক্ষা করুন। সার্কিটের আগে প্রায় 3...5V হওয়া উচিত, পরে - প্রায় 0।
4. লেগ 16 (বর্তমান সুরক্ষা) থেকে মাটিতে জাম্পার ইনস্টল করুন (যদি ব্যবহার না করা হয় তবে এটি ইতিমধ্যে মাটিতে বসে আছে)। এইভাবে, আমরা সাময়িকভাবে এমএস বর্তমান সুরক্ষা অক্ষম করি।
5. আমরা PS-ON কে মাটিতে বন্ধ করি এবং PWM এর 8ম এবং 11 তম পায়ে এবং তারপর কী ট্রানজিস্টরের ঘাঁটিতে ডালগুলি পর্যবেক্ষণ করি।
6. যদি 8 বা 11 পায়ে কোন ডাল না থাকে বা PWM গরম হয়ে যায়, তাহলে মাইক্রোসার্কিট পরিবর্তন করুন। সুপরিচিত নির্মাতাদের (টেক্সাস ইন্সট্রুমেন্টস, ফেয়ারচাইল্ড সেমিকন্ডাক্টর, ইত্যাদি) থেকে মাইক্রোসার্কিট ব্যবহার করার পরামর্শ দেওয়া হয়।
7. ছবি সুন্দর হলে, PWM এবং ড্রাইভ ক্যাসকেড লাইভ বিবেচনা করা যেতে পারে।
8. যদি চাবি ট্রানজিস্টরে কোন পালস না থাকে, তাহলে আমরা মধ্যবর্তী পর্যায় (ড্রাইভ) পরীক্ষা করি - সাধারণত 2 টুকরা C945 ড্রাইভ ট্রান্সে সংগ্রাহক সহ, দুটি 1N4148 এবং 50V এ 1...10 μF এর ক্যাপাসিট্যান্স, তাদের তারের মধ্যে ডায়োড , কী ট্রানজিস্টর নিজেই, পাওয়ার লেগ ট্রান্সফরমারের সোল্ডারিং এবং ক্যাপাসিটর আলাদা করা।"